Welcome to mirrors.dotsrc.org

All our mirrors of open source software are available via http, https, ftp and an onion service. More information about our mirrors including statistics and contact information is available on our mirror info pages.

For information about dotsrc.org and our other services please go to our website.

Index of /mirrors/alpine/edge/testing/aarch64/

File Name  ↓ File Size  ↓ Date  ↓ 
Parent directory/--
lua-psl-0.3-r0.apk1.1 KiB2020-02-05 10:50:37
lua-lcurses-9.0.0-r0.apk1.1 KiB2018-01-05 13:55:49
lua-xml-1.1.3-r1.apk1.2 KiB2020-03-02 12:59:45
msgpuck-2.0-r1.apk1.2 KiB2020-02-22 17:27:54
lua-linenoise-0.9-r1.apk1.2 KiB2021-01-18 12:18:48
lua-libmodbus-0.6.1-r0.apk1.2 KiB2020-07-12 10:14:17
font-fantasque-sans-1.8.0-r0.apk1.2 KiB2019-11-22 15:17:37
lua-editorconfig-0.3.0-r0.apk1.2 KiB2021-04-12 14:26:15
font-fira-4.202-r0.apk1.2 KiB2021-12-04 03:01:18
luacov-html-1.0.0-r1.apk1.2 KiB2022-06-02 15:21:48
apk-readme-0.1-r1.apk1.2 KiB2018-10-23 15:03:28
font-raleway-4.101-r1.apk1.2 KiB2021-11-22 14:08:48
lumina-desktop-1.6.2-r0.apk1.2 KiB2022-07-05 19:10:41
elastic-beats-8.14.2-r0.apk1.4 KiB2024-07-04 08:33:21
boxed-cpp-1.4.2-r0.apk1.4 KiB2024-07-17 16:45:50
elfio-3.12-r0.apk1.4 KiB2023-08-30 08:12:33
dvdbackup-lang-0.4.2-r1.apk1.4 KiB2022-10-14 15:08:44
simpleble-0.6.1-r1.apk1.4 KiB2023-07-29 22:01:34
drawpile-2.2.1-r1.apk1.4 KiB2024-05-29 17:41:15
arcticons-icon-theme-9.6.5.0-r0.apk1.4 KiB2024-06-05 17:58:05
kanidm-1.2.3-r0.apk1.4 KiB2024-07-04 08:22:28
libnest2d-0.4-r6.apk1.4 KiB2024-04-22 17:58:05
mesa-asahi-va-gallium-24.0.0_pre20240727-r0.apk1.4 KiB2024-07-30 19:04:55
distrobuilder-lxc-3.0-r0.apk1.4 KiB2024-07-28 01:22:58
moderncli-0.8.1-r0.apk1.4 KiB2024-02-13 21:03:33
linuxptp-4.3-r0.apk1.4 KiB2024-06-20 02:04:59
arc-theme-20221218-r0.apk1.4 KiB2023-01-07 13:34:26
lua-lanes-3.16.0-r1.apk1.4 KiB2024-04-04 11:35:19
f_scripts-0.6-r0.apk1.4 KiB2024-05-29 16:24:13
libqofono-0.123-r1.apk1.4 KiB2024-06-22 10:57:53
nextpnr-0.7-r0.apk1.4 KiB2024-06-27 02:55:44
luacov-0.15.0-r0.apk1.4 KiB2023-06-16 22:20:19
mesa-asahi-vdpau-gallium-24.0.0_pre20240727-r0.apk1.5 KiB2024-07-30 19:04:55
prosody-modules-0.11_hg20201208-r0.apk1.5 KiB2020-12-10 19:09:25
flutter-3.19.4-r0.apk1.5 KiB2024-03-21 23:13:05
logc-libs-0.1.0-r0.apk1.5 KiB2023-11-18 17:32:24
distrobuilder-lxd-3.0-r0.apk1.5 KiB2024-07-28 01:22:58
freshrss-mysql-1.23.1-r1.apk1.5 KiB2024-03-18 06:42:15
distrobuilder-incus-3.0-r0.apk1.5 KiB2024-07-28 01:22:58
freshrss-pgsql-1.23.1-r1.apk1.5 KiB2024-03-18 06:42:15
restinio-0.6.17-r6.apk1.5 KiB2024-04-22 17:58:13
freshrss-sqlite-1.23.1-r1.apk1.5 KiB2024-03-18 06:42:15
lua-luastatic-0.0.12-r1.apk1.5 KiB2022-10-28 15:21:02
ovos-0.0.1-r1.apk1.5 KiB2024-05-31 06:16:29
qoi-0.0.0_git20230312-r0.apk1.5 KiB2023-03-17 07:30:17
materia-dark-compact-kde-kvantum-20220823-r0.apk1.5 KiB2023-03-19 22:40:15
termcolor-2.1.0-r0.apk1.5 KiB2022-10-28 20:14:36
openjdk22-22.0.1_p8-r2.apk1.5 KiB2024-04-26 00:12:49
materia-light-compact-kde-kvantum-20220823-r0.apk1.5 KiB2023-03-19 22:40:15
ruby-build-runtime-20240612-r0.apk1.5 KiB2024-06-13 05:03:19
lomiri-thumbnailer-doc-3.0.3-r2.apk1.5 KiB2024-06-22 10:57:53
aufs-util-dev-20161219-r2.apk1.5 KiB2023-12-07 15:23:35
font-monaspace-1.000-r0.apk1.5 KiB2023-11-12 12:20:54
nb-full-7.12.1-r0.apk1.5 KiB2024-02-23 23:35:59
qt5ct-dev-1.8-r0.apk1.5 KiB2024-07-22 21:12:50
musikcube-plugin-all-3.0.4-r0.apk1.5 KiB2024-07-17 06:25:13
slidge-matridge-openrc-0.1.0-r0.apk1.5 KiB2024-06-14 03:21:12
newlib-esp-0_git20240109-r0.apk1.5 KiB2024-06-19 15:51:14
libopensles-standalone-dev-0_git20240221-r0.apk1.5 KiB2024-04-29 07:26:17
openocd-git-cmd-openocd-0_git20240113-r0.apk1.5 KiB2024-01-17 08:37:53
remake-make-1.5-r1.apk1.5 KiB2022-10-28 15:21:39
libtcmu-dev-1.6.0-r5.apk1.5 KiB2023-09-01 07:39:56
android-file-transfer-dev-4.3-r0.apk1.5 KiB2023-12-28 23:11:34
foolsm-openrc-1.0.21-r0.apk1.6 KiB2022-05-21 12:41:18
skia-sharp-dev-0_git20230912-r0.apk1.6 KiB2023-11-20 20:49:53
icingaweb2-module-pnp-doc-1.1.0-r1.apk1.6 KiB2018-10-23 15:03:34
nullmailer-openrc-2.2-r4.apk1.6 KiB2021-12-13 15:06:51
tremc-zsh-completion-0.9.3-r0.apk1.6 KiB2022-03-17 23:19:00
prosody-mod-saslname-0.11_hg20201208-r0.apk1.6 KiB2020-12-10 19:09:25
grlx-sprout-openrc-1.0.4-r0.apk1.6 KiB2024-07-05 20:10:50
py3-litex-hub-modules-2024.04-r0.apk1.6 KiB2024-07-05 20:32:57
pigpio-openrc-79-r4.apk1.6 KiB2024-05-08 13:01:52
pimd-openrc-3.0_git20220201-r0.apk1.6 KiB2022-03-06 10:30:22
iipsrv-apache-1.2-r0.apk1.6 KiB2023-10-05 05:24:47
baikal_sqlite-0.9.5-r0.apk1.6 KiB2024-03-20 21:45:46
aprilsh-0.7.12-r0.apk1.6 KiB2024-07-23 09:12:19
barman-bash-completion-3.10.1-r0.apk1.6 KiB2024-06-25 07:48:02
lizardfs-master-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 17:58:05
vcstool-tcsh-completion-0.3.0-r5.apk1.6 KiB2024-04-15 21:03:33
grlx-farmer-openrc-1.0.4-r0.apk1.6 KiB2024-07-05 20:10:50
repgrep-bash-completion-0.15.0-r0.apk1.6 KiB2024-01-04 19:38:39
lizardfs-metalogger-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 17:58:05
repgrep-zsh-completion-0.15.0-r0.apk1.6 KiB2024-01-04 19:38:39
lizardfs-chunkserver-openrc-3.13.0-r13.apk1.6 KiB2024-04-22 17:58:05
iipsrv-lighttpd-1.2-r0.apk1.6 KiB2023-10-05 05:24:47
wch-isp-udev-rules-0.4.1-r1.apk1.6 KiB2024-01-19 18:40:43
prometheus-rethinkdb-exporter-openrc-1.0.1-r23.apk1.7 KiB2024-07-04 08:22:36
dstask-zsh-completion-0.26-r9.apk1.7 KiB2024-07-04 08:22:24
lxd-feature-doc-5.20-r5.apk1.7 KiB2024-07-04 08:22:33
ruuvi-prometheus-openrc-0.1.7-r5.apk1.7 KiB2024-07-04 08:22:37
moosefs-master-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:06:38
hiawatha-openrc-11.6-r0.apk1.7 KiB2024-06-15 07:33:45
speakersafetyd-openrc-0.1.9-r0.apk1.7 KiB2023-12-31 11:46:25
bestline-dev-0.0_git20211108-r0.apk1.7 KiB2022-02-13 15:13:01
m17n-db-dev-1.8.5-r1.apk1.7 KiB2024-08-02 17:04:38
tremc-bash-completion-0.9.3-r0.apk1.7 KiB2022-03-17 23:19:00
moosefs-metalogger-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:06:38
interception-tools-openrc-0.6.8-r2.apk1.7 KiB2024-04-22 17:58:03
fileshelter-openrc-5.1.2-r5.apk1.7 KiB2024-06-22 21:16:50
moosefs-chunkserver-openrc-3.0.117-r1.apk1.7 KiB2023-06-17 21:06:38
materia-20210322-r1.apk1.7 KiB2022-10-28 20:30:31
3proxy-openrc-0.9.4-r0.apk1.7 KiB2023-09-18 05:37:09
fluent-bit-openrc-3.0.7-r0.apk1.7 KiB2024-06-26 04:36:48
wroomd-openrc-0.1.0-r0.apk1.7 KiB2023-10-06 05:49:06
materia-compact-20210322-r1.apk1.7 KiB2022-10-28 20:30:31
materia-dark-20210322-r1.apk1.7 KiB2022-10-28 20:30:31
scaleway-cli-fish-completion-2.32.1-r0.apk1.7 KiB2024-07-05 00:11:04
fusee-nano-udev-0.5.3-r0.apk1.7 KiB2023-12-31 18:28:36
bionic_translation-dev-0_git20240525-r0.apk1.7 KiB2024-05-28 10:54:14
nymphcast-mediaserver-nftables-0.1-r2.apk1.7 KiB2023-01-08 06:08:00
prosody-mod-require_otr-0.11_hg20201208-r0.apk1.7 KiB2020-12-10 19:09:25
neard-openrc-0.19-r0.apk1.7 KiB2023-09-19 19:49:47
wf-shell-dev-0.8.1-r0.apk1.7 KiB2024-03-15 06:19:31
zrepl-openrc-0.6.1-r6.apk1.7 KiB2024-07-04 08:22:44
qrtr-openrc-1.0_git20230118-r0.apk1.7 KiB2024-06-26 03:46:51
rinetd-openrc-0.73-r0.apk1.7 KiB2023-12-09 22:48:17
grommunio-common-openrc-1.0-r2.apk1.7 KiB2024-05-30 23:04:20
soapy-sdr-remote-openrc-0.5.2-r1.apk1.7 KiB2023-07-29 22:01:34
pully-openrc-1.0.0-r0.apk1.7 KiB2022-02-26 17:34:00
autorandr-udev-1.15-r0.apk1.7 KiB2024-03-16 12:36:22
pantalaimon-ui-0.10.5-r4.apk1.7 KiB2024-04-15 14:59:50
speedtest-go-openrc-1.1.5-r10.apk1.7 KiB2024-07-04 08:22:38
repowerd-openrc-2023.07-r2.apk1.7 KiB2024-06-22 10:57:53
materia-dark-compact-20210322-r1.apk1.7 KiB2022-10-28 20:30:31
vcstool-zsh-completion-0.3.0-r5.apk1.7 KiB2024-04-15 21:03:33
usbguard-openrc-1.1.3-r1.apk1.7 KiB2024-07-14 22:15:40
firewalld-openrc-2.1.2-r1.apk1.7 KiB2024-08-10 21:46:32
nmap-parse-output-bash-completion-1.5.1-r0.apk1.7 KiB2022-06-12 21:54:27
soqt-doc-1.6.0-r1.apk1.7 KiB2024-06-18 14:37:20
scaleway-cli-zsh-completion-2.32.1-r0.apk1.7 KiB2024-07-05 00:11:04
arc-20221218-r0.apk1.7 KiB2023-01-07 13:34:26
razercfg-openrc-0.42-r6.apk1.7 KiB2024-04-15 21:03:27
arc-dark-20221218-r0.apk1.7 KiB2023-01-07 13:34:26
tuptime-openrc-5.2.2-r3.apk1.8 KiB2023-07-02 22:04:17
dcmtk-openrc-3.6.8-r0.apk1.8 KiB2024-01-13 22:53:01
vcstool-bash-completion-0.3.0-r5.apk1.8 KiB2024-04-15 21:03:33
fastd-openrc-22-r3.apk1.8 KiB2023-10-22 04:18:36
kopia-bash-completion-0.17.0-r2.apk1.8 KiB2024-07-04 08:22:30
prosody-mod-log_auth-0.11_hg20201208-r0.apk1.8 KiB2020-12-10 19:09:25
arc-lighter-20221218-r0.apk1.8 KiB2023-01-07 13:34:26
prosody-mod-block_registrations-0.11_hg20201208-r0.apk1.8 KiB2020-12-10 19:09:25
grommunio-admin-api-openrc-1.15-r3.apk1.8 KiB2024-06-18 14:37:17
arc-darker-20221218-r0.apk1.8 KiB2023-01-07 13:34:26
drawpile-server-openrc-2.2.1-r1.apk1.8 KiB2024-05-29 17:41:15
efl-gdb-1.27.0-r1.apk1.8 KiB2024-04-11 23:37:35
otrs-fastcgi-6.0.48-r2.apk1.8 KiB2024-07-07 19:11:32
sing-box-openrc-1.9.3-r0.apk1.8 KiB2024-07-04 08:22:38
ghq-bash-completion-1.6.2-r0.apk1.8 KiB2024-07-22 21:12:49
woodpecker-agent-openrc-2.7.0-r0.apk1.8 KiB2024-07-24 04:26:20
phoronix-test-suite-bash-completion-10.8.4-r2.apk1.8 KiB2023-10-14 23:23:35
docker-volume-local-persist-openrc-1.3.0-r28.apk1.8 KiB2024-07-04 08:22:23
kopia-zsh-completion-0.17.0-r2.apk1.8 KiB2024-07-04 08:22:30
cliphist-fzf-0.5.0-r5.apk1.8 KiB2024-07-04 08:22:22
peervpn-openrc-0.044-r5.apk1.8 KiB2022-08-04 08:48:19
mailctl-bash-completion-0.9.2-r0.apk1.8 KiB2024-01-02 20:57:11
crowdsec-openrc-1.6.2-r4.apk1.8 KiB2024-07-14 22:15:40
octoprint-openrc-1.10.1-r0.apk1.8 KiB2024-05-16 23:59:19
wpaperd-fish-completion-0.3.0-r2.apk1.8 KiB2023-07-02 22:04:22
nvim-cmp-cmdline-doc-0.0.0_git20220902-r1.apk1.8 KiB2024-06-18 14:37:20
otrs-nginx-6.0.48-r2.apk1.8 KiB2024-07-07 19:11:32
apollo-openrc-0.2.1-r5.apk1.8 KiB2024-07-04 08:22:19
mkdocs-cinder-pyc-1.2.0-r5.apk1.8 KiB2024-08-04 21:33:57
scaleway-cli-bash-completion-2.32.1-r0.apk1.8 KiB2024-07-05 00:11:04
udpt-openrc-3.1.2-r0.apk1.8 KiB2023-05-26 21:46:03
spacectl-zsh-completion-1.0.0-r1.apk1.8 KiB2024-07-04 08:22:38
avahi2dns-openrc-0.0.1_git20240102-r2.apk1.8 KiB2024-07-04 08:22:20
piping-server-openrc-0.18.0-r0.apk1.8 KiB2024-05-05 10:19:14
mkdocs-ivory-pyc-0.4.6-r5.apk1.8 KiB2024-08-04 21:34:27
geodns-openrc-3.3.0-r8.apk1.8 KiB2024-07-04 08:22:25
prosody-mod-auth_pam-0.11_hg20201208-r0.apk1.8 KiB2020-12-10 19:09:25
headscale-openrc-0.22.3-r9.apk1.8 KiB2024-07-04 08:22:27
turnstile-openrc-0.1.10-r1.apk1.8 KiB2024-08-10 21:46:48
shutdown-clear-machine-id-1.0.0-r0.apk1.8 KiB2023-08-21 10:45:03
py3-yosys-0.42-r0.apk1.8 KiB2024-06-19 16:02:49
mkdocs-bootstrap-pyc-1.1.1-r2.apk1.8 KiB2024-08-04 21:32:16
mkdocs-windmill-pyc-1.0.5-r4.apk1.8 KiB2024-08-04 21:34:45
mkdocs-bootstrap4-pyc-0.1.5-r5.apk1.8 KiB2024-08-04 21:33:31
mkdocs-gitbook-pyc-0.0.1-r5.apk1.8 KiB2024-08-04 21:34:15
espeakup-openrc-0.90-r2.apk1.8 KiB2024-03-14 17:18:40
aprilsh-openrc-0.7.12-r0.apk1.8 KiB2024-07-23 09:12:19
mkdocs-cluster-pyc-0.0.9-r5.apk1.8 KiB2024-08-04 21:34:15
mkdocs-bootstrap386-pyc-0.0.2-r5.apk1.8 KiB2024-08-04 21:33:18
mkdocs-rtd-dropdown-pyc-1.0.2-r5.apk1.8 KiB2024-08-04 21:34:45
hwatch-fish-completion-0.3.11-r0.apk1.8 KiB2024-03-18 00:13:30
coventry-openrc-0.8.1-r0.apk1.8 KiB2024-02-24 15:01:24
dnsfunnel-openrc-0.0.1.6-r0.apk1.8 KiB2023-11-06 21:49:30
listenbrainz-mpd-fish-completion-2.3.7-r0.apk1.8 KiB2024-06-05 17:57:46
icingaweb2-module-generictts-doc-2.1.0-r0.apk1.8 KiB2023-01-12 16:03:37
irccd-openrc-4.0.3-r0.apk1.8 KiB2023-07-29 22:01:09
nvimpager-zsh-completion-0.12.0-r0.apk1.8 KiB2023-07-02 22:03:37
jackal-openrc-0.64.0-r10.apk1.8 KiB2024-07-04 08:22:28
py3-cython-test-exception-raiser-pyc-1.0.2-r0.apk1.8 KiB2024-05-11 00:35:09
materia-kde-konsole-20220823-r0.apk1.8 KiB2023-03-19 22:40:15
gearmand-openrc-1.1.21-r1.apk1.8 KiB2024-04-22 17:58:03
laminar-zsh-completion-1.3-r4.apk1.8 KiB2024-04-22 17:58:03
py3-sphinx-theme-bw-pyc-0.1.8-r7.apk1.8 KiB2024-04-15 21:03:26
taskcafe-openrc-0.3.6-r8.apk1.8 KiB2024-07-04 08:22:39
p910nd-openrc-0.97-r2.apk1.8 KiB2022-06-04 12:38:42
yazi-fish-completion-0.3.0-r0.apk1.8 KiB2024-08-02 11:57:28
minidyndns-openrc-1.3.0-r3.apk1.8 KiB2021-10-19 01:46:22
yaru-schemas-23.10.0-r0.apk1.8 KiB2024-04-18 02:06:45
materia-dark-kde-konsole-20220823-r0.apk1.8 KiB2023-03-19 22:40:15
prosody-mod-broadcast-0.11_hg20201208-r0.apk1.8 KiB2020-12-10 19:09:25
pimd-dense-openrc-2.1.0-r0.apk1.8 KiB2023-01-14 01:44:57
filebrowser-openrc-2.27.0-r6.apk1.8 KiB2024-07-04 08:22:24
reaction-openrc-1.4.1-r1.apk1.9 KiB2024-07-04 08:22:37
debconf-bash-completion-1.5.82-r0.apk1.9 KiB2023-03-16 14:38:28
ckb-next-daemon-openrc-0.6.0-r1.apk1.9 KiB2023-07-19 19:10:52
laminar-openrc-1.3-r4.apk1.9 KiB2024-04-22 17:58:03
lemmy-openrc-0.19.5-r1.apk1.9 KiB2024-06-26 04:20:18
manticore-openrc-6.2.12-r1.apk1.9 KiB2024-05-24 02:46:40
lizardfs-bash-completion-3.13.0-r13.apk1.9 KiB2024-04-22 17:58:05
icesprog-udev-0_git20240108-r0.apk1.9 KiB2024-06-23 03:07:39
flowd-openrc-0.9.1-r10.apk1.9 KiB2024-06-10 06:08:21
mailctl-fish-completion-0.9.2-r0.apk1.9 KiB2024-01-02 20:57:11
pict-rs-openrc-0.5.16-r1.apk1.9 KiB2024-06-26 04:20:18
py3-pysequoia-pyc-0.1.20-r3.apk1.9 KiB2024-06-13 13:28:00
laminar-bash-completion-1.3-r4.apk1.9 KiB2024-04-22 17:58:03
tpm2-pkcs11-dev-1.9.0-r1.apk1.9 KiB2023-04-22 16:11:04
llmnrd-openrc-0.7-r1.apk1.9 KiB2022-10-28 15:21:02
xkb-switch-doc-1.8.5-r0.apk1.9 KiB2021-12-11 17:53:52
speedtest_exporter-openrc-0.3.2-r10.apk1.9 KiB2024-07-04 08:22:38
betula-openrc-1.1.0-r5.apk1.9 KiB2024-07-04 08:22:20
mtg-openrc-2.1.7-r16.apk1.9 KiB2024-07-04 08:22:35
eiwd-openrc-2.16-r0.apk1.9 KiB2024-03-20 20:07:16
libsirocco-dev-2.1.0-r2.apk1.9 KiB2023-08-01 15:19:32
otrs-openrc-6.0.48-r2.apk1.9 KiB2024-07-07 19:11:32
openbgpd-openrc-8.5-r0.apk1.9 KiB2024-07-29 10:45:16
f_scripts-f_game-0.6-r0.apk1.9 KiB2024-05-29 16:24:13
prometheus-bind-exporter-openrc-0.7.0-r6.apk1.9 KiB2024-07-04 08:22:36
mitra-openrc-2.26.0-r0.apk1.9 KiB2024-07-22 21:12:50
minisatip-openrc-1.3.4-r0.apk1.9 KiB2024-03-15 06:19:28
json2tsv-jaq-1.2-r0.apk1.9 KiB2024-08-05 20:12:50
upterm-server-openrc-0.14.3-r0.apk1.9 KiB2024-07-07 05:35:23
olsrd-openrc-0.9.8-r2.apk1.9 KiB2022-10-28 15:21:06
mimir-openrc-2.11.0-r5.apk1.9 KiB2024-07-04 08:22:35
kanidm-openrc-1.2.3-r0.apk1.9 KiB2024-07-04 08:22:28
empede-openrc-0.2.3-r0.apk1.9 KiB2024-01-07 01:48:20
librespot-openrc-0.4.2-r4.apk1.9 KiB2023-08-01 04:55:08
hwatch-zsh-completion-0.3.11-r0.apk1.9 KiB2024-03-18 00:13:30
prometheus-ceph-exporter-openrc-4.2.3-r4.apk1.9 KiB2024-07-04 08:22:36
prometheus-smartctl-exporter-openrc-0.12.0-r5.apk1.9 KiB2024-07-04 08:22:36
satellite-openrc-1.0.0-r23.apk1.9 KiB2024-07-04 08:22:37
soundfont-vintage-dreams-waves-doc-2.1-r1.apk1.9 KiB2022-04-17 06:28:40
perl-dns-unbound-asyncquery-promisexs-0.29-r1.apk1.9 KiB2024-06-10 06:08:21
ntpd-rs-openrc-1.2.3-r0.apk1.9 KiB2024-07-23 09:24:59
zrepl-zsh-completion-0.6.1-r6.apk1.9 KiB2024-07-04 08:22:44
sish-openrc-2.16.0-r2.apk1.9 KiB2024-07-04 08:22:38
please-build-zsh-completion-17.9.0-r0.apk1.9 KiB2024-07-24 21:17:01
daktilo-fish-completion-0.6.0-r0.apk1.9 KiB2024-04-11 10:47:55
please-build-bash-completion-17.9.0-r0.apk1.9 KiB2024-07-24 21:17:01
seaweedfs-openrc-3.71-r0.apk1.9 KiB2024-07-28 10:31:42
conntracct-openrc-0.2.7-r26.apk1.9 KiB2024-07-04 08:22:22
sthttpd-openrc-2.27.1-r2.apk1.9 KiB2022-10-28 15:21:43
py3-slidge-style-parser-pyc-0.1.7-r0.apk1.9 KiB2024-07-30 03:22:17
ry-bash-completion-0.5.2-r1.apk2.0 KiB2022-10-28 15:21:40
dmarc-metrics-exporter-openrc-1.0.0-r2.apk2.0 KiB2024-04-15 21:03:10
mailctl-zsh-completion-0.9.2-r0.apk2.0 KiB2024-01-02 20:57:11
ma1sd-openrc-2.5.0-r3.apk2.0 KiB2024-05-07 20:17:03
flawz-fish-completion-0.2.2-r0.apk2.0 KiB2024-06-22 21:05:44
prosody-mod-ipcheck-0.11_hg20201208-r0.apk2.0 KiB2020-12-10 19:09:25
halp-fish-completion-0.2.0-r0.apk2.0 KiB2024-06-20 21:08:23
tang-openrc-14-r0.apk2.0 KiB2023-07-23 14:03:19
woodpecker-openrc-2.7.0-r0.apk2.0 KiB2024-07-24 04:26:20
maddy-openrc-0.7.1-r5.apk2.0 KiB2024-07-04 08:22:33
trafficserver9-openrc-9.2.4-r0.apk2.0 KiB2024-04-05 13:43:12
thanos-openrc-0.31.0-r8.apk2.0 KiB2024-07-04 08:22:40
bees-openrc-0.10-r0.apk2.0 KiB2023-09-05 12:10:14
wpaperd-bash-completion-0.3.0-r2.apk2.0 KiB2023-07-02 22:04:22
py3-lzo-pyc-1.16-r1.apk2.0 KiB2024-04-15 21:03:24
xisxwayland-doc-2-r1.apk2.0 KiB2023-07-29 22:01:42
iipsrv-openrc-1.2-r0.apk2.0 KiB2023-10-05 05:24:47
hamster-time-tracker-bash-completion-3.0.3-r2.apk2.0 KiB2024-04-15 21:03:10
nvim-cmp-path-doc-0.0.0_git20221002-r1.apk2.0 KiB2024-06-18 14:37:20
gortr-openrc-0.14.8-r8.apk2.0 KiB2024-07-04 08:22:26
mautrix-discord-openrc-0.7.0-r0.apk2.0 KiB2024-07-16 12:32:58
py3-sphinx-theme-better-pyc-0.1.5-r7.apk2.0 KiB2024-08-03 11:24:09
mautrix-signal-openrc-0.6.3-r0.apk2.0 KiB2024-07-16 13:16:05
carburetor-doc-4.5.1-r0.apk2.0 KiB2024-07-14 17:29:47
exercism-bash-completion-3.2.0-r7.apk2.0 KiB2024-07-04 08:22:24
cri-o-openrc-1.30.3-r0.apk2.0 KiB2024-07-07 05:41:40
mautrix-slack-openrc-0_git20230925-r6.apk2.0 KiB2024-07-04 08:22:34
geomyidae-openrc-0.34-r2.apk2.0 KiB2022-10-28 15:20:41
turn-rs-openrc-2.1.3-r0.apk2.0 KiB2024-04-18 14:02:59
perl-lv-backend-sentinel-0.006-r0.apk2.0 KiB2024-01-18 23:46:11
prometheus-unbound-exporter-openrc-0.4.6-r0.apk2.0 KiB2024-07-08 16:24:06
ddnrs-openrc-0.3.0-r0.apk2.0 KiB2024-05-13 07:58:29
py3-keepalive-doc-0.5-r5.apk2.0 KiB2024-04-15 07:15:34
stayrtr-openrc-0.5.1-r5.apk2.0 KiB2024-07-04 08:22:39
lizardfs-cgiserv-openrc-3.13.0-r13.apk2.0 KiB2024-04-22 17:58:05
prosody-mod-reload_modules-0.11_hg20201208-r0.apk2.0 KiB2020-12-10 19:09:25
mautrix-gmessages-openrc-0.4.3-r0.apk2.0 KiB2024-07-16 12:32:58
wpaperd-zsh-completion-0.3.0-r2.apk2.0 KiB2023-07-02 22:04:22
prosody-mod-c2s_conn_throttle-0.11_hg20201208-r0.apk2.0 KiB2020-12-10 19:09:25
tealdeer-bash-completion-1.6.1-r2.apk2.0 KiB2023-07-02 22:04:11
projectm-pulseaudio-doc-3.1.12-r2.apk2.0 KiB2024-05-08 13:01:52
agate-openrc-3.3.7-r0.apk2.0 KiB2024-04-15 21:16:23
prosody-mod-s2s_idle_timeout-0.11_hg20201208-r0.apk2.0 KiB2020-12-10 19:09:25
wlopm-doc-0.1.0-r0.apk2.0 KiB2022-06-28 15:08:39
filebeat-openrc-8.14.2-r0.apk2.0 KiB2024-07-04 08:33:21
msh-openrc-2.5.0-r7.apk2.0 KiB2024-07-04 08:22:35
downloader-cli-0.3.4-r1.apk2.0 KiB2024-04-15 21:03:10
lomiri-docviewer-app-doc-3.0.4-r0.apk2.0 KiB2024-03-15 17:50:53
ombi-openrc-4.43.5-r1.apk2.0 KiB2023-11-18 19:37:30
dnote-zsh-completion-0.15.1-r0.apk2.0 KiB2024-07-05 19:44:28
lazymc-openrc-0.2.11-r0.apk2.0 KiB2024-03-24 14:48:09
prosody-mod-support_contact-0.11_hg20201208-r0.apk2.0 KiB2020-12-10 19:09:25
rage-fish-completion-0.9.2-r1.apk2.0 KiB2023-07-02 22:03:57
py3-editdistance-s-pyc-1.0.0-r6.apk2.0 KiB2024-08-05 23:33:36
mautrix-meta-openrc-0.3.2-r0.apk2.0 KiB2024-07-16 12:32:58
py3-pyvows-doc-3.0.0-r5.apk2.0 KiB2024-08-07 12:29:32
j4-dmenu-desktop-bash-completion-3.0-r0.apk2.0 KiB2024-08-01 12:33:44
autobrr-openrc-1.44.0-r0.apk2.0 KiB2024-07-30 14:20:38
prosody-mod-stanza_counter-0.11_hg20201208-r0.apk2.0 KiB2020-12-10 19:09:25
thelounge-openrc-4.4.3-r0.apk2.0 KiB2024-07-07 17:29:41
prometheus-smokeping-prober-openrc-0.7.1-r7.apk2.0 KiB2024-07-04 08:22:36
yarr-openrc-2.4-r8.apk2.0 KiB2024-07-04 08:22:43
nvim-cmp-luasnip-doc-0.0.0_git20220501-r1.apk2.0 KiB2024-06-18 14:37:20
moosefs-cgiserv-openrc-3.0.117-r1.apk2.0 KiB2023-06-17 21:06:38
libuninameslist-doc-20230916-r0.apk2.0 KiB2023-09-18 04:50:05
alps-openrc-0_git20230807-r6.apk2.0 KiB2024-07-04 08:22:19
atool-bash-completion-0.39.0-r4.apk2.0 KiB2022-10-28 15:20:31
tractor-doc-4.5.1-r0.apk2.0 KiB2024-07-14 17:29:47
spacectl-bash-completion-1.0.0-r1.apk2.0 KiB2024-07-04 08:22:38
utop-common-2.9.1-r4.apk2.0 KiB2024-04-04 10:39:21
lomiri-indicator-network-doc-1.0.2-r2.apk2.0 KiB2024-06-22 10:57:53
metricbeat-openrc-8.14.2-r0.apk2.0 KiB2024-07-04 08:33:22
ssh-honeypot-openrc-0.1.1-r1.apk2.0 KiB2023-05-15 16:46:23
firehol-openrc-3.1.7-r2.apk2.1 KiB2023-05-13 20:21:00
openswitcher-proxy-openrc-0.5.0-r3.apk2.1 KiB2024-04-15 21:03:17
listenbrainz-mpd-zsh-completion-2.3.7-r0.apk2.1 KiB2024-06-05 17:57:46
tailspin-fish-completion-3.0.0-r0.apk2.1 KiB2024-02-03 22:34:46
apmpkg-fish-completion-1.5.1-r3.apk2.1 KiB2023-07-02 22:00:42
rezolus-openrc-2.11.1-r3.apk2.1 KiB2023-05-24 14:04:20
autoscan-openrc-1.4.0-r6.apk2.1 KiB2024-07-04 08:22:20
strfry-openrc-0.9.6-r0.apk2.1 KiB2024-01-25 17:00:59
dnote-bash-completion-0.15.1-r0.apk2.1 KiB2024-07-05 19:44:28
zapret-openrc-0.0.0_git20220125-r1.apk2.1 KiB2024-06-18 14:37:21
dasht-zsh-completion-2.4.0-r0.apk2.1 KiB2023-12-24 03:12:46
pithos-doc-1.6.1-r0.apk2.1 KiB2023-06-16 22:21:06
spampd-openrc-2.61-r1.apk2.1 KiB2022-10-02 14:56:55
yazi-bash-completion-0.3.0-r0.apk2.1 KiB2024-08-02 11:57:28
wk-adblock-doc-0.0.4-r5.apk2.1 KiB2023-05-24 14:04:32
kondo-fish-completion-0.8-r0.apk2.1 KiB2023-12-20 22:45:25
docker-auth-openrc-1.11.0-r10.apk2.1 KiB2024-07-04 08:22:23
viewnior-doc-1.8-r1.apk2.1 KiB2023-06-16 22:22:13
kondo-bash-completion-0.8-r0.apk2.1 KiB2023-12-20 22:45:25
dstask-bash-completion-0.26-r9.apk2.1 KiB2024-07-04 08:22:24
listenbrainz-mpd-bash-completion-2.3.7-r0.apk2.1 KiB2024-06-05 17:57:46
aero2solver-openrc-1.1.0-r0.apk2.1 KiB2024-05-16 18:35:50
py3-swagger-ui-bundle-pyc-1.1.0-r1.apk2.1 KiB2024-04-15 21:03:26
f_scripts-f_maps-0.6-r0.apk2.1 KiB2024-05-29 16:24:13
perl-lv-backend-magic-0.006-r0.apk2.1 KiB2024-01-18 23:46:11
clevis-bash-completion-19-r0.apk2.1 KiB2023-01-29 19:27:40
liblinbox-doc-1.7.0-r3.apk2.1 KiB2023-08-01 15:19:30
lxd-feature-scripts-5.20-r5.apk2.1 KiB2024-07-04 08:22:33
etcd-openrc-3.5.14-r1.apk2.1 KiB2024-07-04 08:22:24
zot-openrc-2.1.0-r0.apk2.1 KiB2024-07-22 21:12:51
exercism-zsh-completion-3.2.0-r7.apk2.1 KiB2024-07-04 08:22:24
bordeaux-openrc-0.8.1-r0.apk2.1 KiB2024-02-26 21:07:12
ytmdl-zsh-completion-2024.04.14-r1.apk2.1 KiB2024-08-07 13:44:26
hitide-openrc-0.15.0-r0.apk2.1 KiB2024-03-30 06:39:00
mdnsd-openrc-0.12-r1.apk2.1 KiB2023-05-15 16:46:14
qtpass-doc-1.4.0-r0.apk2.1 KiB2023-11-06 17:37:57
fuzzylite-doc-6.0-r0.apk2.1 KiB2023-04-17 12:06:01
grommunio-admin-api-bash-completion-1.15-r3.apk2.1 KiB2024-06-18 14:37:17
py3-pypubsub-doc-4.0.3-r0.apk2.1 KiB2024-05-04 13:42:06
haproxy-dataplaneapi-openrc-2.9.5-r1.apk2.1 KiB2024-07-10 08:32:28
oauth2-proxy-openrc-7.6.0-r6.apk2.1 KiB2024-07-04 08:22:35
cherrytree-doc-1.1.2-r0.apk2.2 KiB2024-04-09 23:13:33
lemmy-ui-openrc-0.19.5-r0.apk2.2 KiB2024-06-23 16:55:08
yazi-zsh-completion-0.3.0-r0.apk2.2 KiB2024-08-02 11:57:28
daktilo-bash-completion-0.6.0-r0.apk2.2 KiB2024-04-11 10:47:55
flawz-bash-completion-0.2.2-r0.apk2.2 KiB2024-06-22 21:05:44
kuma-dp-openrc-2.8.1-r0.apk2.2 KiB2024-07-07 05:33:18
halp-bash-completion-0.2.0-r0.apk2.2 KiB2024-06-20 21:08:23
ydcv-zsh-completion-0.7-r7.apk2.2 KiB2024-04-15 21:03:33
nano-hare-0_git20231021-r0.apk2.2 KiB2024-01-31 16:23:14
kuma-cp-openrc-2.8.1-r0.apk2.2 KiB2024-07-07 05:33:18
prosody-mod-log_slow_events-0.11_hg20201208-r0.apk2.2 KiB2020-12-10 19:09:25
hddfancontrol-openrc-1.6.2-r0.apk2.2 KiB2024-06-27 20:33:43
apmpkg-bash-completion-1.5.1-r3.apk2.2 KiB2023-07-02 22:00:42
code-oss-bash-completion-1.89.1-r0.apk2.2 KiB2024-05-30 22:34:12
flare-game-1.14-r0.apk2.2 KiB2023-01-26 19:28:32
mint-themes-2.1.1-r0.apk2.2 KiB2023-06-16 22:20:24
greetd-mini-wl-greeter-bash-completion-0_git20230821-r0.apk2.2 KiB2023-08-22 10:22:35
syncthing-gtk-doc-0.9.4.5-r2.apk2.2 KiB2024-08-08 18:20:05
py3-sphinx-theme-readable-pyc-1.3.0-r9.apk2.2 KiB2024-04-15 21:03:26
vector-openrc-0.39.0-r0.apk2.2 KiB2024-06-29 15:43:23
planner-doc-0.14.92-r0.apk2.2 KiB2024-01-08 09:42:59
tealdeer-fish-completion-1.6.1-r2.apk2.2 KiB2023-07-02 22:04:11
wf-shell-doc-0.8.1-r0.apk2.2 KiB2024-03-15 06:19:31
wpa_actiond-openrc-1.4-r7.apk2.2 KiB2022-10-28 15:21:49
aero2solver-doc-1.1.0-r0.apk2.2 KiB2024-05-16 18:35:50
cargo-leptos-doc-0.2.17-r0.apk2.2 KiB2024-04-28 19:56:38
ddgr-bash-completion-2.2-r0.apk2.2 KiB2024-01-14 19:13:16
libideviceactivation-doc-1.1.1-r4.apk2.2 KiB2023-05-15 02:50:47
svls-doc-0.2.12-r0.apk2.2 KiB2024-06-13 03:48:08
py3-flake8-todo-pyc-0.7-r7.apk2.2 KiB2024-04-15 21:03:19
simdutf-doc-5.2.8-r0.apk2.2 KiB2024-06-25 16:22:50
xandikos-openrc-0.2.11-r1.apk2.2 KiB2024-04-15 21:03:33
tailspin-bash-completion-3.0.0-r0.apk2.2 KiB2024-02-03 22:34:46
stardict-doc-3.0.6-r6.apk2.2 KiB2023-04-30 21:31:06
gamja-doc-1.0.0_beta9-r0.apk2.2 KiB2023-11-26 22:35:02
pfetch-rs-doc-2.11.0-r0.apk2.2 KiB2024-08-03 14:31:18
hare-madeline-doc-0.1_git20240315-r0.apk2.2 KiB2024-05-04 13:41:59
rustdesk-server-openrc-1.1.10.3-r0.apk2.2 KiB2024-02-11 23:04:14
helm-ls-doc-0.0.12-r4.apk2.2 KiB2024-07-04 08:22:27
pegtl-doc-3.2.7-r0.apk2.2 KiB2023-09-21 21:48:58
tmpl-doc-0.4.0-r6.apk2.3 KiB2024-07-04 08:22:40
helmfile-doc-0.166.0-r0.apk2.3 KiB2024-07-10 06:21:15
dbmate-doc-2.16.0-r0.apk2.3 KiB2024-07-04 14:06:03
yazi-doc-0.3.0-r0.apk2.3 KiB2024-08-02 11:57:28
tree-sitter-xml-doc-0.6.4-r0.apk2.3 KiB2024-07-01 08:36:04
qt-jdenticon-doc-0.3.0-r0.apk2.3 KiB2024-04-27 21:46:25
tabby-doc-3.1-r1.apk2.3 KiB2023-11-25 23:42:02
ry-zsh-completion-0.5.2-r1.apk2.3 KiB2022-10-28 15:21:40
py3-python-logstash-doc-0.4.8-r4.apk2.3 KiB2024-08-06 12:12:56
imgdiff-doc-1.0.2-r21.apk2.3 KiB2024-07-04 08:22:27
copyq-bash-completion-9.0.0-r0.apk2.3 KiB2024-07-01 07:20:13
drogon-doc-1.9.4-r0.apk2.3 KiB2024-05-05 21:25:24
vale-doc-3.7.0-r0.apk2.3 KiB2024-07-22 21:12:51
slurm-doc-0.4.4-r0.apk2.3 KiB2022-05-02 00:14:37
tree-sitter-scheme-doc-0.6.0-r0.apk2.3 KiB2023-11-20 00:26:07
j4-dmenu-desktop-fish-completion-3.0-r0.apk2.3 KiB2024-08-01 12:33:44
tree-sitter-nix-doc-0_git20230713-r0.apk2.3 KiB2023-11-19 02:32:12
daktilo-zsh-completion-0.6.0-r0.apk2.3 KiB2024-04-11 10:47:55
motion-openrc-4.6.0-r0.apk2.3 KiB2023-11-13 22:52:23
gx-go-doc-1.9.0-r27.apk2.3 KiB2024-07-04 08:22:26
tfupdate-doc-0.8.2-r1.apk2.3 KiB2024-07-04 08:22:40
flawz-zsh-completion-0.2.2-r0.apk2.3 KiB2024-06-22 21:05:44
py-spy-doc-0.3.14-r3.apk2.3 KiB2023-07-02 22:03:52
gx-doc-0.14.3-r25.apk2.3 KiB2024-07-04 08:22:26
cyrus-sasl-xoauth2-doc-0.2-r1.apk2.3 KiB2023-05-15 16:45:25
mml-fish-completion-1.0.0-r0.apk2.3 KiB2023-11-12 12:21:13
tree-sitter-markdown-doc-0.2.3-r0.apk2.3 KiB2024-03-22 22:33:45
ergo-ldap-doc-0.0.1-r12.apk2.3 KiB2024-07-04 08:22:24
gmid-openrc-2.0.5-r0.apk2.3 KiB2024-06-11 20:55:53
spacectl-doc-1.0.0-r1.apk2.3 KiB2024-07-04 08:22:38
ubuntu-archive-keyring-doc-2023.11.28.1-r0.apk2.3 KiB2023-11-29 08:20:53
dnscontrol-doc-4.12.5-r0.apk2.3 KiB2024-07-18 21:45:49
eludris-doc-0.3.3-r1.apk2.3 KiB2023-06-16 22:19:13
php84-pecl-imagick-dev-3.7.0-r0.apk2.3 KiB2024-07-05 00:11:04
tree-sitter-caddy-doc-0_git20230322-r0.apk2.3 KiB2023-12-16 02:06:19
typstfmt-doc-0.2.7-r0.apk2.3 KiB2024-01-24 23:53:11
exabgp-openrc-4.2.21-r5.apk2.3 KiB2024-08-07 12:30:59
bootterm-dbg-0.5-r0.apk2.3 KiB2024-05-09 18:30:42
sentinel-proxy-openrc-2.1.0-r0.apk2.3 KiB2023-11-18 17:32:24
empede-doc-0.2.3-r0.apk2.3 KiB2024-01-07 01:48:20
ytmdl-bash-completion-2024.04.14-r1.apk2.3 KiB2024-08-07 13:44:26
powerstat-bash-completion-0.04.01-r0.apk2.3 KiB2024-01-03 12:58:00
ouch-bash-completion-0.5.1-r0.apk2.3 KiB2023-12-24 17:13:15
faultstat-bash-completion-0.01.11-r0.apk2.3 KiB2024-01-13 13:44:30
innernet-openrc-1.6.1-r0.apk2.3 KiB2024-02-23 19:45:01
vectoroids-doc-1.1.0-r2.apk2.3 KiB2024-05-28 11:28:00
pnmixer-doc-0.7.2-r3.apk2.3 KiB2023-10-11 17:35:33
perl-promise-es6-future-0.28-r0.apk2.3 KiB2024-01-19 00:18:18
bgs-doc-0.8-r1.apk2.3 KiB2022-10-28 15:20:31
ddgr-fish-completion-2.2-r0.apk2.3 KiB2024-01-14 19:13:16
thelounge-doc-4.4.3-r0.apk2.3 KiB2024-07-07 17:29:41
mml-bash-completion-1.0.0-r0.apk2.3 KiB2023-11-12 12:21:13
php81-pecl-imagick-dev-3.7.0-r5.apk2.3 KiB2024-04-11 00:39:23
httpie-oauth-pyc-1.0.2-r9.apk2.3 KiB2024-04-15 21:03:12
slidge-openrc-0.1.3-r0.apk2.3 KiB2024-06-14 03:21:12
libtins-doc-4.5-r1.apk2.3 KiB2024-04-22 17:58:05
theme.sh-doc-1.1.5-r0.apk2.3 KiB2023-09-05 09:55:49
dublin-traceroute-doc-0.4.2-r3.apk2.3 KiB2023-09-16 21:20:41
mint-x-theme-2.1.1-r0.apk2.3 KiB2023-06-16 22:20:26
autorandr-bash-completion-1.15-r0.apk2.3 KiB2024-03-16 12:36:22
piler-openrc-1.4.5-r0.apk2.3 KiB2024-05-24 00:10:08
json2tsv-jaq-doc-1.2-r0.apk2.3 KiB2024-08-05 20:12:50
mpdris2-lang-0.9.1-r3.apk2.3 KiB2022-07-27 23:53:27
rage-zsh-completion-0.9.2-r1.apk2.3 KiB2023-07-02 22:03:57
py-spy-bash-completion-0.3.14-r3.apk2.3 KiB2023-07-02 22:03:52
perl-dns-unbound-anyevent-0.29-r1.apk2.3 KiB2024-06-10 06:08:21
py3-sphinx-theme-bootstrap-pyc-0.8.1-r4.apk2.3 KiB2024-08-06 12:46:19
xandikos-doc-0.2.11-r1.apk2.3 KiB2024-04-15 21:03:33
qucs-s-doc-1.1.0-r1.apk2.4 KiB2023-08-01 15:19:52
maddy-doc-0.7.1-r5.apk2.4 KiB2024-07-04 08:22:33
ouch-fish-completion-0.5.1-r0.apk2.4 KiB2023-12-24 17:13:15
soapy-sdr-remote-doc-0.5.2-r1.apk2.4 KiB2023-07-29 22:01:34
powerline-extra-symbols-doc-0_git20191017-r0.apk2.4 KiB2021-03-21 06:00:09
gaupol-doc-1.12-r2.apk2.4 KiB2024-04-15 21:03:10
pongoos-loader-0_git20210704-r1.apk2.4 KiB2022-10-28 15:21:38
tealdeer-zsh-completion-1.6.1-r2.apk2.4 KiB2023-07-02 22:04:11
f_scripts-f_timer-0.6-r0.apk2.4 KiB2024-05-29 16:24:13
ptpd-openrc-2.3.1-r1.apk2.4 KiB2022-10-28 15:21:38
ifuse-doc-1.1.4-r4.apk2.4 KiB2023-08-13 19:16:13
nicotine-plus-doc-3.3.4-r0.apk2.4 KiB2024-07-22 21:12:50
btfs-doc-2.24-r12.apk2.4 KiB2024-04-22 17:57:59
exercism-fish-completion-3.2.0-r7.apk2.4 KiB2024-07-04 08:22:24
openwsman-doc-2.7.2-r5.apk2.4 KiB2024-06-10 06:08:21
tonutils-reverse-proxy-doc-0.3.3-r0.apk2.4 KiB2024-08-05 14:58:06
maxima-bash-completion-5.47.0-r8.apk2.4 KiB2024-07-02 15:39:49
endlessh-doc-1.1-r0.apk2.4 KiB2022-02-20 18:53:58
halp-zsh-completion-0.2.0-r0.apk2.4 KiB2024-06-20 21:08:23
otrs-bash-completion-6.0.48-r2.apk2.4 KiB2024-07-07 19:11:32
rage-bash-completion-0.9.2-r1.apk2.4 KiB2023-07-02 22:03:57
lemmy-localdb-0.19.5-r1.apk2.4 KiB2024-06-26 04:20:18
clipit-doc-1.4.5-r2.apk2.4 KiB2023-04-16 18:48:46
gliderlabs-sigil-doc-0.11.0-r0.apk2.4 KiB2024-07-22 21:12:49
hyprutils-doc-0.1.5-r0.apk2.4 KiB2024-06-27 05:58:10
ocp-indent-vim-1.8.2-r2.apk2.4 KiB2024-03-23 20:50:17
xcb-util-errors-doc-1.0.1-r0.apk2.4 KiB2024-06-27 05:58:10
qrtr-doc-1.0_git20230118-r0.apk2.4 KiB2024-06-26 03:46:51
perl-dns-unbound-ioasync-0.29-r1.apk2.4 KiB2024-06-10 06:08:21
lottieconverter-doc-0.2_git20231219-r0.apk2.4 KiB2023-12-19 22:23:33
somebar-doc-1.0.3-r0.apk2.4 KiB2023-06-16 22:21:57
hyprwayland-scanner-doc-0.3.10-r0.apk2.4 KiB2024-06-27 05:58:10
xdg-desktop-portal-hyprland-doc-1.3.3-r0.apk2.4 KiB2024-07-28 02:19:18
j4-dmenu-desktop-zsh-completion-3.0-r0.apk2.4 KiB2024-08-01 12:33:44
apmpkg-zsh-completion-1.5.1-r3.apk2.4 KiB2023-07-02 22:00:42
ghq-zsh-completion-1.6.2-r0.apk2.4 KiB2024-07-22 21:12:49
lxd-feature-openrc-5.20-r5.apk2.5 KiB2024-07-04 08:22:33
py3-flask-headers-pyc-1.0-r9.apk2.5 KiB2024-04-15 21:03:19
kondo-zsh-completion-0.8-r0.apk2.5 KiB2023-12-20 22:45:25
tailspin-zsh-completion-3.0.0-r0.apk2.5 KiB2024-02-03 22:34:46
flare-engine-doc-1.14-r0.apk2.5 KiB2023-01-26 19:28:32
lsdvd-doc-0.17-r0.apk2.5 KiB2023-01-31 20:08:06
seed7-nano-05.20240322-r0.apk2.5 KiB2024-03-24 13:17:02
py3-sphinx-theme-epfl-pyc-1.1.1-r9.apk2.5 KiB2024-04-15 21:03:26
ghq-fish-completion-1.6.2-r0.apk2.5 KiB2024-07-22 21:12:49
beard-doc-0.4-r0.apk2.5 KiB2022-08-28 16:21:09
frescobaldi-doc-3.3.0-r1.apk2.5 KiB2024-04-15 21:03:10
policycoreutils-bash-completion-3.6-r0.apk2.5 KiB2024-01-08 09:43:00
bwrap-oci-doc-0.2-r1.apk2.5 KiB2022-10-28 15:20:31
mktorrent-borg-doc-0.9.9-r1.apk2.5 KiB2022-08-04 08:48:12
ideviceinstaller-doc-1.1.1-r3.apk2.5 KiB2023-05-15 02:50:47
xfce4-mixer-doc-4.18.1-r2.apk2.5 KiB2023-10-31 11:12:58
xlhtml-doc-0.5.1-r0.apk2.5 KiB2024-03-30 17:37:48
steamguard-cli-bash-completion-0.9.6-r0.apk2.5 KiB2023-07-02 22:04:07
perl-promise-es6-anyevent-0.28-r0.apk2.5 KiB2024-01-19 00:18:18
lsd-bash-completion-1.1.2-r0.apk2.5 KiB2024-07-27 06:35:01
freshrss-openrc-1.23.1-r1.apk2.5 KiB2024-03-18 06:42:15
pully-1.0.0-r0.apk2.5 KiB2022-02-26 17:34:00
f_scripts-f_rss-0.6-r0.apk2.5 KiB2024-05-29 16:24:13
stw-doc-0.3-r0.apk2.5 KiB2023-03-06 14:44:14
xendmail-doc-0.4.3-r0.apk2.5 KiB2024-03-26 11:53:08
libcotp-dev-2.0.2-r0.apk2.5 KiB2023-10-28 09:40:48
perl-datetime-timezone-alias-0.06-r0.apk2.5 KiB2024-02-24 12:59:03
py3-eradicate-doc-2.3.0-r1.apk2.5 KiB2024-04-15 21:03:18
xgalaga-doc-2.1.1.0-r1.apk2.5 KiB2022-10-28 15:21:49
alarmwakeup-dev-0.2.1-r0.apk2.6 KiB2023-10-06 05:49:06
hyfetch-zsh-completion-1.4.11-r1.apk2.6 KiB2024-06-29 08:55:18
f_scripts-f_theme-0.6-r0.apk2.6 KiB2024-05-29 16:24:13
calibre-pyc-7.16.0-r0.apk2.6 KiB2024-07-31 16:58:49
ustream-ssl-dev-20220116-r1.apk2.6 KiB2022-08-04 21:46:06
tcmu-runner-doc-1.6.0-r5.apk2.6 KiB2023-09-01 07:39:56
py-spy-fish-completion-0.3.14-r3.apk2.6 KiB2023-07-02 22:03:52
tup-vim-0.7.11-r0.apk2.6 KiB2023-03-06 13:16:15
ol-doc-2.4-r0.apk2.6 KiB2023-03-28 07:46:26
jitsi-videobridge-openrc-2.3.105-r0.apk2.6 KiB2024-05-24 13:05:36
idevicerestore-doc-1.0.0-r3.apk2.6 KiB2023-05-27 11:04:32
perl-promise-es6-mojo-ioloop-0.28-r0.apk2.6 KiB2024-01-19 00:18:18
flann-doc-1.9.2-r0.apk2.6 KiB2024-07-19 10:41:10
scooper-doc-1.3-r1.apk2.6 KiB2023-05-15 16:46:20
py3-flake8-blind-except-pyc-0.2.1-r4.apk2.6 KiB2024-04-15 21:03:18
laze-fish-completion-0.1.21-r0.apk2.6 KiB2024-02-13 22:22:29
py3-bottle-request-pyc-0.2.0-r9.apk2.6 KiB2024-04-15 21:03:18
sentinel-minipot-openrc-2.3.0-r1.apk2.6 KiB2023-12-13 18:13:20
py3-igraph-dev-0.11.6-r0.apk2.6 KiB2024-07-08 13:44:12
jicofo-openrc-1.0.1078-r0.apk2.6 KiB2024-05-24 13:05:35
nvim-cmp-lsp-doc-0.0.0_git20220516-r1.apk2.6 KiB2024-06-18 14:37:20
rsstail-doc-2.1-r1.apk2.6 KiB2022-10-28 15:21:40
xcompmgr-doc-1.1.9-r0.apk2.6 KiB2022-11-12 20:48:28
trantor-doc-1.5.18-r0.apk2.6 KiB2024-05-04 20:50:41
lxappearance-doc-0.6.3-r3.apk2.6 KiB2023-05-29 04:27:00
xsoldier-doc-1.8-r2.apk2.6 KiB2024-07-12 02:41:37
tremc-doc-0.9.3-r0.apk2.6 KiB2022-03-17 23:19:00
keydb-openrc-6.3.4-r0.apk2.6 KiB2024-05-25 20:53:52
faust-vim-2.60.3-r2.apk2.6 KiB2023-07-02 22:01:56
py3-visitor-pyc-0.1.3-r7.apk2.6 KiB2024-08-06 13:00:44
nsnake-doc-3.0.0-r0.apk2.6 KiB2022-04-15 15:00:16
py3-luhn-pyc-0.2.0-r9.apk2.6 KiB2024-08-06 12:24:03
kirc-doc-0.3.2-r0.apk2.6 KiB2023-05-23 12:47:18
prosody-mod-webpresence-0.11_hg20201208-r0.apk2.6 KiB2020-12-10 19:09:25
lomiri-terminal-app-doc-2.0.2-r1.apk2.6 KiB2024-06-22 10:57:53
prosody-mod-auth_sql-0.11_hg20201208-r0.apk2.6 KiB2020-12-10 19:09:25
sxcs-doc-1.1.0-r0.apk2.6 KiB2024-06-06 20:53:51
mame-common-0.251-r0.apk2.7 KiB2023-02-22 11:49:36
prosody-mod-vcard_muc-0.11_hg20201208-r0.apk2.7 KiB2020-12-10 19:09:25
code-oss-zsh-completion-1.89.1-r0.apk2.7 KiB2024-05-30 22:34:12
cri-o-bash-completion-1.30.3-r0.apk2.7 KiB2024-07-07 05:41:40
jami-qt-doc-20230925-r0.apk2.7 KiB2023-10-14 23:22:28
mpop-vim-1.4.20-r0.apk2.7 KiB2024-08-02 02:09:29
perl-dns-unbound-mojo-0.29-r1.apk2.7 KiB2024-06-10 06:08:21
wch-isp-doc-0.4.1-r1.apk2.7 KiB2024-01-19 18:40:43
colormake-doc-0.9.20170221-r0.apk2.7 KiB2017-10-01 07:52:10
grommunio-gromox-openrc-2.30-r0.apk2.7 KiB2024-06-26 04:36:51
ddgr-zsh-completion-2.2-r0.apk2.7 KiB2024-01-14 19:13:16
laze-bash-completion-0.1.21-r0.apk2.7 KiB2024-02-13 22:22:29
rook-getattr-0.1.3-r1.apk2.7 KiB2024-07-04 08:22:37
py3-unidns-examples-0.0.1-r2.apk2.7 KiB2024-04-15 21:03:26
pomo-doc-0.8.1-r18.apk2.7 KiB2024-07-04 08:22:36
tailspin-doc-3.0.0-r0.apk2.7 KiB2024-02-03 22:34:46
prosody-mod-register_redirect-0.11_hg20201208-r0.apk2.7 KiB2020-12-10 19:09:25
perl-template-plugin-csv-0.04-r3.apk2.7 KiB2023-07-03 22:52:46
rkdeveloptool-doc-1.1.0-r0.apk2.7 KiB2022-02-03 02:09:47
ip2location-doc-8.6.1-r0.apk2.7 KiB2023-06-16 22:19:56
avdl-doc-0.4.3-r1.apk2.7 KiB2022-08-20 14:26:37
perl-uri-tcp-2.0.0-r0.apk2.7 KiB2024-01-15 20:58:17
virtme-ng-bash-completion-1.27-r0.apk2.7 KiB2024-07-31 06:28:58
h4h5tools-doc-2.2.5-r3.apk2.7 KiB2024-05-04 13:41:59
fzy-doc-1.0-r3.apk2.7 KiB2022-10-28 15:20:41
perl-net-curl-promiser-anyevent-0.20-r0.apk2.7 KiB2024-02-05 16:12:39
quodlibet-zsh-completion-4.6.0-r1.apk2.7 KiB2024-04-15 21:03:27
b2sum-doc-20190729-r2.apk2.7 KiB2022-10-28 15:20:31
perl-rxperl-anyevent-6.8.1-r0.apk2.7 KiB2024-01-19 03:45:23
wayfire-doc-0.8.1-r1.apk2.7 KiB2024-07-13 16:16:50
barnyard2-openrc-2.1.14_git20160413-r1.apk2.8 KiB2022-10-28 15:20:31
apulse-doc-0.1.13-r2.apk2.8 KiB2024-05-25 10:05:23
py3-utc-pyc-0.0.3-r9.apk2.8 KiB2024-08-08 18:20:05
timew-bash-completion-1.4.3-r1.apk2.8 KiB2022-10-28 15:21:44
lfm-doc-3.1-r4.apk2.8 KiB2024-04-15 21:03:12
prosody-mod-server_status-0.11_hg20201208-r0.apk2.8 KiB2020-12-10 19:09:25
dfu-programmer-bash-completion-1.1.0-r0.apk2.8 KiB2023-07-10 20:18:38
py3-webrtcvad-pyc-2.0.10-r1.apk2.8 KiB2024-05-31 06:16:30
py3-pytap2-doc-2.3.0-r0.apk2.8 KiB2024-05-08 22:38:00
nvim-gruvbox-doc-0.0.0_git20221212-r1.apk2.8 KiB2024-06-18 14:37:20
f_scripts-f_youtube-0.6-r0.apk2.8 KiB2024-05-29 16:24:13
mangr0ve-0.1.2-r0.apk2.8 KiB2024-01-29 02:19:45
gatling-openrc-0.16-r4.apk2.8 KiB2023-08-07 20:56:34
nb-fish-completion-7.12.1-r0.apk2.8 KiB2024-02-23 23:35:59
chim-doc-1.1.2-r1.apk2.8 KiB2023-05-24 14:03:55
prosody-mod-auth_ldap-0.11_hg20201208-r0.apk2.8 KiB2020-12-10 19:09:25
hunspell-es-ar-doc-2.7-r0.apk2.8 KiB2023-06-16 22:19:52
logtop-doc-0.7-r0.apk2.8 KiB2024-06-28 05:47:47
py3-flask-gzip-pyc-0.2-r8.apk2.8 KiB2024-04-15 21:03:19
prosody-mod-host_guard-0.11_hg20201208-r0.apk2.8 KiB2020-12-10 19:09:25
perl-rxperl-ioasync-6.9.1-r0.apk2.8 KiB2024-01-19 03:45:23
xcape-doc-1.2-r0.apk2.8 KiB2021-11-29 21:04:33
mml-zsh-completion-1.0.0-r0.apk2.8 KiB2023-11-12 12:21:13
perl-rxperl-mojo-6.8.2-r0.apk2.8 KiB2024-08-08 03:06:31
gpa-doc-0.10.0-r2.apk2.9 KiB2022-10-28 15:20:42
py3-timeago-doc-1.0.16-r0.apk2.9 KiB2024-05-04 13:42:07
coccinelle-bash-completion-1.1.1-r2.apk2.9 KiB2024-04-18 11:11:28
git-extras-bash-completion-7.2.0-r0.apk2.9 KiB2024-05-13 07:58:29
zsh-manydots-magic-0_git20230607-r1.apk2.9 KiB2023-08-11 23:59:51
ouch-zsh-completion-0.5.1-r0.apk2.9 KiB2023-12-24 17:13:15
sacc-doc-1.07-r0.apk2.9 KiB2023-11-06 17:37:59
lomiri-location-service-doc-3.1.0-r3.apk2.9 KiB2024-06-26 03:46:51
pounce-openrc-3.1-r3.apk2.9 KiB2024-01-03 14:12:47
git-quick-stats-doc-2.5.6-r0.apk2.9 KiB2024-05-19 15:27:21
ruby-resolv-replace-0.1.1-r0.apk2.9 KiB2024-07-14 22:27:09
pxmenu-1.0.0-r1.apk2.9 KiB2023-06-16 22:21:15
miraclecast-bash-completion-1.0_git20221016-r0.apk2.9 KiB2022-10-17 14:16:53
desed-doc-1.2.1-r1.apk2.9 KiB2023-05-24 14:03:56
pxalarm-3.0.0-r0.apk2.9 KiB2024-05-10 01:52:55
dublin-traceroute-contrib-0.4.2-r3.apk2.9 KiB2023-09-16 21:20:41
mpdcron-zsh-completion-0.3-r1.apk2.9 KiB2022-10-28 15:21:06
f_scripts-f_web-0.6-r0.apk2.9 KiB2024-05-29 16:24:13
prosody-mod-http_upload_external-0.11_hg20201208-r0.apk2.9 KiB2020-12-10 19:09:25
noggin-doc-0.1-r11.apk2.9 KiB2024-07-15 14:09:07
gtklock-doc-2.1.0-r0.apk2.9 KiB2023-07-18 20:21:47
nkk-dev-0_git20221010-r0.apk2.9 KiB2023-02-23 19:39:40
createrepo_c-bash-completion-1.0.2-r1.apk2.9 KiB2024-04-15 21:03:08
cproc-doc-0_git20230502-r0.apk2.9 KiB2023-05-13 22:14:12
perl-curry-2.000001-r0.apk2.9 KiB2024-01-15 20:58:17
pamtester-doc-0.1.2-r3.apk2.9 KiB2022-10-14 15:08:54
restic.mk-0.4.0-r0.apk2.9 KiB2023-05-13 20:21:42
snowflake-doc-2.9.2-r4.apk2.9 KiB2024-07-04 08:22:38
efibootguard-zsh-completion-0.16-r0.apk2.9 KiB2024-07-01 10:42:21
vcsh-bash-completion-2.0.5-r0.apk2.9 KiB2023-06-16 22:22:13
cri-o-zsh-completion-1.30.3-r0.apk2.9 KiB2024-07-07 05:41:40
f_scripts-f_files-0.6-r0.apk2.9 KiB2024-05-29 16:24:13
libvisio2svg-dev-0.5.5-r3.apk2.9 KiB2023-04-30 21:30:24
xcur2png-doc-0.7.1-r0.apk2.9 KiB2024-04-23 08:01:47
vcsh-zsh-completion-2.0.5-r0.apk2.9 KiB2023-06-16 22:22:13
remake-dev-1.5-r1.apk2.9 KiB2022-10-28 15:21:39
wl-clipboard-x11-doc-5-r3.apk2.9 KiB2022-10-28 15:21:49
rankwidth-dev-0.9-r3.apk2.9 KiB2023-08-01 15:19:52
perl-cairo-gobject-doc-1.005-r4.apk2.9 KiB2024-06-10 06:08:21
perl-xml-libxml-sax-chunkparser-0.00008-r0.apk3.0 KiB2024-01-03 18:23:16
rke-doc-1.4.3-r10.apk3.0 KiB2024-07-04 08:22:37
limkd-doc-0.1.2-r0.apk3.0 KiB2023-03-25 03:02:09
libabigail-bash-completion-2.3-r0.apk3.0 KiB2023-05-03 10:33:10
nb-zsh-completion-7.12.1-r0.apk3.0 KiB2024-02-23 23:35:59
perl-cache-lru-0.04-r0.apk3.0 KiB2024-01-15 20:58:17
makedumpfile-openrc-1.7.5-r0.apk3.0 KiB2024-07-18 07:20:32
perl-promise-es6-io-async-0.28-r0.apk3.0 KiB2024-01-19 00:18:18
lowjs-doc-1.6.2-r2.apk3.0 KiB2024-04-15 07:15:34
isomd5sum-doc-1.2.3-r3.apk3.0 KiB2024-07-09 13:57:05
lynis-bash-completion-3.1.1-r0.apk3.0 KiB2024-03-18 00:13:30
perl-net-curl-promiser-ioasync-0.20-r0.apk3.0 KiB2024-02-05 16:12:39
p910nd-doc-0.97-r2.apk3.0 KiB2022-06-04 12:38:42
hatop-doc-0.8.2-r0.apk3.0 KiB2022-08-01 17:46:12
pokoy-doc-0.2.5-r0.apk3.0 KiB2023-05-22 21:23:47
pastel-bash-completion-0.9.0-r3.apk3.0 KiB2024-06-29 02:30:52
f_scripts-f_networks-0.6-r0.apk3.0 KiB2024-05-29 16:24:13
usbmuxd-doc-1.1.1-r6.apk3.0 KiB2024-02-05 19:31:55
py3-pytaglib-pyc-1.5.0-r3.apk3.0 KiB2024-04-15 21:03:25
nb-bash-completion-7.12.1-r0.apk3.0 KiB2024-02-23 23:35:59
perl-linux-pid-doc-0.04-r13.apk3.0 KiB2024-06-10 06:08:21
pipectl-doc-0.4.1-r1.apk3.0 KiB2023-02-01 20:56:52
libctl-doc-4.5.1-r1.apk3.0 KiB2023-05-15 16:46:11
geonames-dev-0.3.1-r2.apk3.0 KiB2024-06-22 10:57:53
satellite-doc-1.0.0-r23.apk3.0 KiB2024-07-04 08:22:37
qgis-doc-3.34.0-r0.apk3.0 KiB2024-08-03 16:28:01
ansiweather-doc-1.19.0-r1.apk3.0 KiB2023-07-29 21:59:51
rankwidth-doc-0.9-r3.apk3.0 KiB2023-08-01 15:19:52
py-spy-zsh-completion-0.3.14-r3.apk3.0 KiB2023-07-02 22:03:52
perl-template-plugin-csv-doc-0.04-r3.apk3.0 KiB2023-07-03 22:52:46
laze-zsh-completion-0.1.21-r0.apk3.0 KiB2024-02-13 22:22:29
faultstat-doc-0.01.11-r0.apk3.0 KiB2024-01-13 13:44:30
llmnrd-doc-0.7-r1.apk3.0 KiB2022-10-28 15:21:02
hwatch-doc-0.3.11-r0.apk3.0 KiB2024-03-18 00:13:30
py3-compdb-doc-0.2.0-r8.apk3.0 KiB2024-08-07 12:32:21
perl-perlio-locale-doc-0.10-r12.apk3.0 KiB2024-06-10 06:08:21
perl-plack-middleware-reverseproxy-doc-0.16-r2.apk3.1 KiB2023-07-03 22:52:46
apmpkg-doc-1.5.1-r3.apk3.1 KiB2023-07-02 22:00:42
sympow-doc-2.023.7-r0.apk3.1 KiB2024-05-26 03:47:46
bchunk-doc-1.2.2-r2.apk3.1 KiB2022-10-28 15:20:31
mkg3a-doc-0.5.0-r1.apk3.1 KiB2022-10-28 15:21:06
sedutil-doc-1.15.1-r1.apk3.1 KiB2022-10-28 15:21:40
ocp-index-vim-1.3.6-r0.apk3.1 KiB2024-03-23 20:50:18
perl-xml-libxml-sax-chunkparser-doc-0.00008-r0.apk3.1 KiB2024-01-03 18:23:16
py3-log-symbols-pyc-0.0.14-r5.apk3.1 KiB2024-08-05 23:33:36
snore-doc-0.3.1-r0.apk3.1 KiB2023-11-14 19:46:37
opendht-doc-3.1.7-r2.apk3.1 KiB2024-04-15 21:03:17
csmith-doc-2.3.0-r2.apk3.1 KiB2024-07-01 14:13:02
vkbasalt-doc-0.3.2.10-r0.apk3.1 KiB2024-01-18 23:38:59
py3-cchardet-pyc-2.1.7-r4.apk3.1 KiB2024-04-15 21:03:18
perl-http-thin-0.006-r0.apk3.1 KiB2024-01-13 13:37:35
libopensmtpd-dev-0.7-r0.apk3.1 KiB2022-02-19 01:19:31
luksmeta-dev-9-r0.apk3.1 KiB2022-06-17 12:01:14
usbguard-zsh-completion-1.1.3-r1.apk3.1 KiB2024-07-14 22:15:40
cocogitto-zsh-completion-6.1.0-r0.apk3.1 KiB2024-03-15 12:14:52
cocogitto-bash-completion-6.1.0-r0.apk3.1 KiB2024-03-15 12:14:52
snapper-bash-completion-0.11.1-r0.apk3.1 KiB2024-07-12 07:01:30
prosody-mod-bookmarks-0.11_hg20201208-r0.apk3.1 KiB2020-12-10 19:09:25
memdump-doc-1.01-r1.apk3.1 KiB2022-10-28 15:21:05
kbs2-bash-completion-0.7.2-r3.apk3.1 KiB2023-07-29 22:01:12
dwl-doc-0.5-r2.apk3.1 KiB2024-07-13 16:16:50
tty-clock-doc-2.3_git20240104-r0.apk3.1 KiB2024-01-19 03:13:19
perl-net-curl-promiser-mojo-0.20-r0.apk3.1 KiB2024-02-05 16:12:39
lotide-openrc-0.15.0-r0.apk3.1 KiB2024-03-30 06:39:00
cpufetch-doc-1.05-r0.apk3.1 KiB2024-02-06 15:32:38
perl-json-maybeutf8-2.000-r0.apk3.1 KiB2024-01-15 20:58:17
py3-bottle-redis-pyc-0.2.3-r6.apk3.1 KiB2024-04-15 21:03:18
py3-mitmproxy-rs-pyc-0.5.1-r0.apk3.1 KiB2024-04-15 21:03:24
volatility3-doc-2.7.0-r0.apk3.1 KiB2024-06-11 06:08:41
harminv-dev-1.4.2-r1.apk3.1 KiB2023-10-08 17:25:48
py3-bottle-websocket-pyc-0.2.9-r8.apk3.1 KiB2024-04-15 21:03:18
today-6.2.0-r0.apk3.1 KiB2024-06-16 21:33:48
py3-python-jose-doc-3.3.0-r2.apk3.1 KiB2024-04-15 13:09:34
lsd-fish-completion-1.1.2-r0.apk3.1 KiB2024-07-27 06:35:01
beard-0.4-r0.apk3.1 KiB2022-08-28 16:21:09
trippy-bash-completion-0.10.0-r0.apk3.1 KiB2024-04-05 22:45:36
perl-math-libm-doc-1.00-r14.apk3.1 KiB2024-06-10 06:08:21
wpaperd-doc-0.3.0-r2.apk3.1 KiB2023-07-02 22:04:22
py3-sphinxcontrib-manpage-pyc-0.6-r8.apk3.1 KiB2024-04-15 21:03:26
ptylie-doc-0.2-r1.apk3.1 KiB2022-10-28 15:21:38
timeshift-doc-24.06.3-r0.apk3.2 KiB2024-07-25 00:43:49
grommunio-gromox-dev-2.30-r0.apk3.2 KiB2024-06-26 04:36:51
waylock-doc-1.2.0-r0.apk3.2 KiB2024-07-04 08:22:42
caffeine-ng-doc-4.2.0-r1.apk3.2 KiB2024-04-16 01:32:48
perl-plack-middleware-reverseproxy-0.16-r2.apk3.2 KiB2023-07-03 22:52:46
tootik-openrc-0.11.4-r0.apk3.2 KiB2024-07-04 08:22:40
jalv-doc-1.6.8-r1.apk3.2 KiB2023-07-29 22:01:09
py3-flask-gzip-0.2-r8.apk3.2 KiB2024-04-15 21:03:19
perl-digest-crc-doc-0.24-r1.apk3.2 KiB2024-06-10 06:08:21
libdng-dev-0.1.1-r0.apk3.2 KiB2024-01-21 22:37:00
perl-uri-redis-0.02-r0.apk3.2 KiB2024-01-15 20:58:17
uclient-dev-20210514-r0.apk3.2 KiB2022-06-09 01:00:44
perl-string-camelcase-0.04-r2.apk3.2 KiB2023-07-03 22:52:46
powerctl-doc-1.1-r5.apk3.2 KiB2024-03-16 17:42:04
fcitx5-lua-dev-5.0.13-r0.apk3.2 KiB2024-05-10 03:34:30
witchery-0.0.3-r2.apk3.2 KiB2022-07-26 05:59:07
xload-doc-1.1.4-r0.apk3.2 KiB2022-05-12 09:39:27
py3-flask-headers-1.0-r9.apk3.2 KiB2024-04-15 21:03:19
perl-flowd-doc-0.9.1-r10.apk3.2 KiB2024-06-10 06:08:21
py3-bottle-request-0.2.0-r9.apk3.2 KiB2024-04-15 21:03:18
perl-datetime-format-atom-1.6.0-r0.apk3.2 KiB2024-01-22 11:51:48
pastel-fish-completion-0.9.0-r3.apk3.2 KiB2024-06-29 02:30:52
perl-cache-lru-doc-0.04-r0.apk3.2 KiB2024-01-15 20:58:17
lxappearance-dev-0.6.3-r3.apk3.2 KiB2023-05-29 04:27:00
persistent-cache-cpp-doc-1.0.7-r2.apk3.2 KiB2024-06-22 10:57:53
kbs2-fish-completion-0.7.2-r3.apk3.2 KiB2023-07-29 22:01:12
nitrocli-bash-completion-0.4.1-r3.apk3.2 KiB2023-05-24 14:04:14
rbw-bash-completion-1.12.1-r0.apk3.2 KiB2024-08-03 05:11:11
tmpmail-doc-1.2.3-r2.apk3.2 KiB2023-11-06 17:38:04
py3-hurry.filesize-pyc-0.9-r8.apk3.3 KiB2024-08-05 23:33:36
prosody-mod-conversejs-0.11_hg20201208-r0.apk3.3 KiB2020-12-10 19:09:25
py3-allfiles-pyc-1.0-r8.apk3.3 KiB2024-04-15 21:03:17
perl-variable-disposition-0.005-r0.apk3.3 KiB2024-01-15 20:58:17
linuxwave-doc-0.1.5-r0.apk3.3 KiB2023-07-21 22:02:19
stubbyboot-1.0.2-r1.apk3.3 KiB2024-03-21 06:57:54
today-doc-6.2.0-r0.apk3.3 KiB2024-06-16 21:33:48
greetd-mini-wl-greeter-doc-0_git20230821-r0.apk3.3 KiB2023-08-22 10:22:35
initify-0_git20171210-r1.apk3.3 KiB2022-10-28 15:20:43
fatrace-doc-0.17.0-r0.apk3.3 KiB2022-11-18 01:56:19
openocd-riscv-udev-rules-0_git20230104-r1.apk3.3 KiB2023-05-03 11:10:11
perl-devel-leak-doc-0.03-r13.apk3.3 KiB2024-06-10 06:08:21
wlclock-doc-1.0.1-r0.apk3.3 KiB2021-12-31 00:27:04
hub-fish-completion-2.14.2-r26.apk3.3 KiB2024-07-11 13:26:58
prjtrellis-db-0_git20230929-r0.apk3.3 KiB2024-01-12 02:25:40
xiccd-doc-0.3.0_git20211219-r1.apk3.3 KiB2022-06-16 18:09:48
py3-bottle-redis-0.2.3-r6.apk3.3 KiB2024-04-15 21:03:18
toml2json-doc-1.3.1-r0.apk3.3 KiB2023-08-05 10:40:36
openocd-esp32-doc-0_git20230921-r4.apk3.3 KiB2023-12-06 06:54:49
sqlar-doc-0_git20180107-r1.apk3.3 KiB2022-10-28 15:21:43
py3-flask-components-pyc-0.1.1-r9.apk3.3 KiB2024-04-15 21:03:19
nuzzle-doc-1.5-r0.apk3.3 KiB2023-12-08 14:04:46
nvim-cmp-cmdline-0.0.0_git20220902-r1.apk3.3 KiB2024-06-18 14:37:20
openocd-git-doc-0_git20240113-r0.apk3.3 KiB2024-01-17 08:37:53
ccze-dev-0.2.1-r1.apk3.3 KiB2022-09-07 20:39:03
openocd-riscv-doc-0_git20230104-r1.apk3.3 KiB2023-05-03 11:10:11
fastd-doc-22-r3.apk3.3 KiB2023-10-22 04:18:36
hyfetch-bash-completion-1.4.11-r1.apk3.3 KiB2024-06-29 08:55:18
treecat-doc-1.0.2_git20231128-r1.apk3.3 KiB2024-02-07 20:40:03
pick-doc-4.0.0-r0.apk3.3 KiB2023-05-18 10:47:54
cpuburn-1.4a_git20160316-r2.apk3.3 KiB2022-10-28 15:20:32
f_scripts-f_audio-0.6-r0.apk3.3 KiB2024-05-29 16:24:13
netscanner-doc-0.5.1-r1.apk3.3 KiB2024-06-03 18:39:59
py3-anyascii-pyc-0.3.2-r1.apk3.3 KiB2024-04-15 21:03:17
compiz-utils-0.9.14.2-r6.apk3.3 KiB2024-07-14 22:15:39
perl-protocol-redis-faster-doc-0.003-r0.apk3.3 KiB2024-01-12 13:36:01
click-doc-0.5.2-r2.apk3.3 KiB2024-06-22 10:57:53
pasystray-doc-0.8.2-r0.apk3.3 KiB2024-06-28 05:23:54
sentrypeer-doc-3.0.2-r0.apk3.3 KiB2023-12-23 12:59:09
py3-flake8-copyright-pyc-0.2.4-r3.apk3.3 KiB2024-04-15 21:03:19
py3-sphinxcontrib-gist-pyc-0.1.0-r9.apk3.3 KiB2024-04-15 21:03:26
ssss-doc-0.5.7-r0.apk3.3 KiB2021-10-29 06:42:26
lomiri-url-dispatcher-dev-0.1.3-r2.apk3.3 KiB2024-06-22 10:57:53
perl-plack-middleware-expires-doc-0.06-r3.apk3.3 KiB2023-07-03 22:52:46
noice-doc-0.8-r1.apk3.4 KiB2022-10-28 15:21:06
rezolus-doc-2.11.1-r3.apk3.4 KiB2023-05-24 14:04:20
zita-resampler-dev-1.10.1-r0.apk3.4 KiB2023-03-18 21:44:43
openocd-git-udev-rules-0_git20240113-r0.apk3.4 KiB2024-01-17 08:37:53
deblob-doc-0.7-r0.apk3.4 KiB2024-03-30 06:38:58
cocogitto-fish-completion-6.1.0-r0.apk3.4 KiB2024-03-15 12:14:52
openocd-esp32-udev-rules-0_git20230921-r4.apk3.4 KiB2023-12-06 06:54:49
httpie-oauth-1.0.2-r9.apk3.4 KiB2024-04-15 21:03:12
solarus-engine-doc-1.7.0-r0.apk3.4 KiB2023-11-22 16:24:22
perl-string-crc32-doc-2.100-r4.apk3.4 KiB2024-06-10 06:08:21
perl-ppi-xs-doc-0.910-r1.apk3.4 KiB2024-06-10 06:08:21
perl-scalar-readonly-doc-0.03-r1.apk3.4 KiB2024-06-10 06:08:21
lua-fn-0.1.0-r0.apk3.4 KiB2022-08-15 15:13:41
rio-terminfo-0.1.1-r0.apk3.4 KiB2024-06-22 10:57:53
pam_mount-dev-2.20-r1.apk3.4 KiB2024-07-25 16:36:55
rbw-fish-completion-1.12.1-r0.apk3.4 KiB2024-08-03 05:11:11
pixiewps-doc-1.4.2-r1.apk3.4 KiB2022-07-26 05:59:07
octoprint-creality2xfix-pyc-0.0.4-r1.apk3.4 KiB2024-04-16 00:38:44
py3-ovos-ocp-m3u-plugin-pyc-0.0.2_alpha2-r0.apk3.4 KiB2024-05-31 06:16:30
qrtr-dev-1.0_git20230118-r0.apk3.4 KiB2024-06-26 03:46:51
laze-doc-0.1.21-r0.apk3.4 KiB2024-02-13 22:22:29
perl-ref-util-xs-doc-0.117-r8.apk3.4 KiB2024-06-10 06:08:21
perl-net-mqtt-simple-ssl-1.29-r0.apk3.4 KiB2024-04-16 17:38:40
perl-tickit-widget-choice-doc-0.07-r0.apk3.4 KiB2024-01-14 12:53:33
perl-test-checkdeps-doc-0.010-r0.apk3.4 KiB2024-01-03 18:23:16
openocd-esp32-dev-0_git20230921-r4.apk3.4 KiB2023-12-06 06:54:49
wl-clipboard-x11-5-r3.apk3.4 KiB2022-10-28 15:21:49
lzfse-dev-1.0-r0.apk3.4 KiB2022-09-17 09:41:16
perl-curry-doc-2.000001-r0.apk3.4 KiB2024-01-15 20:58:17
libideviceactivation-dev-1.1.1-r4.apk3.4 KiB2023-05-15 02:50:47
perl-protocol-redis-faster-0.003-r0.apk3.4 KiB2024-01-12 13:36:01
lsd-zsh-completion-1.1.2-r0.apk3.4 KiB2024-07-27 06:35:01
ampy-doc-1.1.0-r5.apk3.4 KiB2024-04-15 07:15:08
openocd-git-dev-0_git20240113-r0.apk3.4 KiB2024-01-17 08:37:53
py3-flask-json-schema-pyc-0.0.5-r4.apk3.4 KiB2024-04-15 21:03:19
perl-module-build-prereqs-fromcpanfile-0.02-r0.apk3.4 KiB2024-01-19 00:18:18
hyprland-doc-0.41.2-r1.apk3.4 KiB2024-06-29 19:45:21
perl-http-thin-doc-0.006-r0.apk3.4 KiB2024-01-13 13:37:35
perl-net-address-ip-local-0.1.2-r0.apk3.4 KiB2024-01-15 20:58:17
stgit-vim-2.4.7-r1.apk3.5 KiB2024-06-07 22:45:46
py3-infinity-1.5-r5.apk3.5 KiB2024-04-15 21:03:19
dfl-login1-dev-0.2.0-r0.apk3.5 KiB2023-12-30 13:27:00
libdatrie-doc-0.2.13-r0.apk3.5 KiB2022-01-27 00:31:02
perl-string-camelcase-doc-0.04-r2.apk3.5 KiB2023-07-03 22:52:46
libuninameslist-dev-20230916-r0.apk3.5 KiB2023-09-18 04:50:05
bcg729-dev-1.1.1-r0.apk3.5 KiB2020-12-02 08:33:41
shadowsocks-libev-dev-3.3.5-r4.apk3.5 KiB2024-04-15 07:15:36
tldr-python-client-doc-3.2.0-r2.apk3.5 KiB2024-08-09 22:27:35
copyq-doc-9.0.0-r0.apk3.5 KiB2024-07-01 07:20:13
py3-utc-0.0.3-r9.apk3.5 KiB2024-08-08 18:20:05
libbloom-dev-2.0-r0.apk3.5 KiB2023-06-16 22:20:02
nvim-cmp-lsp-0.0.0_git20220516-r1.apk3.5 KiB2024-06-18 14:37:20
py3-sphinxcontrib-fulltoc-pyc-1.2.0-r8.apk3.5 KiB2024-04-15 21:03:26
ijq-doc-1.1.0-r3.apk3.5 KiB2024-07-04 08:22:27
perl-net-address-ip-local-doc-0.1.2-r0.apk3.5 KiB2024-01-15 20:58:17
perl-test-checkdeps-0.010-r0.apk3.5 KiB2024-01-03 18:23:16
repology-cli-doc-1.3.0-r0.apk3.5 KiB2024-07-29 07:18:21
enlighten-doc-0.9.2-r1.apk3.5 KiB2022-10-28 15:20:35
aptdec-dev-1.8.0-r0.apk3.5 KiB2023-02-22 12:07:23
extrace-doc-0.9-r0.apk3.5 KiB2024-04-18 20:07:05
task3-bash-completion-3.1.0-r0.apk3.5 KiB2024-08-08 14:12:39
nvim-cmp-luasnip-0.0.0_git20220501-r1.apk3.5 KiB2024-06-18 14:37:20
perl-test-randomresult-0.001-r0.apk3.5 KiB2024-04-16 11:30:54
rgxg-dev-0.1.2-r2.apk3.5 KiB2023-05-15 16:46:20
shellinabox-openrc-2.21-r3.apk3.5 KiB2023-05-15 16:46:20
libqb-tools-2.0.8-r0.apk3.5 KiB2023-08-03 16:08:54
nvtop-doc-3.1.0-r0.apk3.5 KiB2024-02-24 12:59:03
kabmat-doc-2.7.0-r0.apk3.5 KiB2023-05-07 06:38:56
otpclient-doc-3.2.1-r1.apk3.5 KiB2023-11-15 16:50:04
perl-proc-guard-doc-0.07-r4.apk3.5 KiB2024-01-04 01:10:58
twinkle-doc-1.10.3-r2.apk3.6 KiB2023-04-30 21:31:21
perl-json-maybeutf8-doc-2.000-r0.apk3.6 KiB2024-01-15 20:58:17
perl-check-unitcheck-doc-0.13-r1.apk3.6 KiB2024-06-10 06:08:21
snapper-zsh-completion-0.11.1-r0.apk3.6 KiB2024-07-12 07:01:30
opensmtpd-filter-dkimsign-doc-0.6-r1.apk3.6 KiB2022-08-04 21:46:06
steamguard-cli-zsh-completion-0.9.6-r0.apk3.6 KiB2023-07-02 22:04:07
py3-allfiles-1.0-r8.apk3.6 KiB2024-04-15 21:03:17
ffsend-fish-completion-0.2.76-r4.apk3.6 KiB2023-07-02 22:01:57
cutechess-doc-1.3.1-r0.apk3.6 KiB2023-09-24 18:36:50
logc-libevent-0.1.0-r0.apk3.6 KiB2023-11-18 17:32:24
gsettings-qt-dev-0.2_git20220807-r1.apk3.6 KiB2024-06-22 10:57:53
doasedit-1.0.7-r0.apk3.6 KiB2024-02-13 17:44:02
perl-test-describeme-0.004-r0.apk3.6 KiB2024-04-16 17:38:40
mpvpaper-doc-1.6-r0.apk3.6 KiB2024-07-07 05:40:07
wireguard-go-doc-0.0.20230223-r7.apk3.6 KiB2024-07-04 08:22:42
ocaml-qtest-dev-2.11.2-r3.apk3.6 KiB2024-03-23 20:49:56
py3-flake8-todo-0.7-r7.apk3.6 KiB2024-04-15 21:03:19
pacparser-dev-1.4.5-r0.apk3.6 KiB2024-06-30 20:03:14
efibootguard-bash-completion-0.16-r0.apk3.6 KiB2024-07-01 10:42:21
rook-autotype-0.1.3-r1.apk3.6 KiB2024-07-04 08:22:37
dfl-ipc-dev-0.2.0-r0.apk3.6 KiB2023-12-30 13:27:00
lua-resty-upload-0.11-r0.apk3.6 KiB2023-03-17 07:30:17
ffsend-bash-completion-0.2.76-r4.apk3.6 KiB2023-07-02 22:01:57
rattler-build-bash-completion-0.18.0-r0.apk3.6 KiB2024-06-19 21:03:31
ircd-hybrid-doc-8.2.45-r0.apk3.6 KiB2024-07-03 05:45:53
perl-bind-config-parser-doc-0.01-r5.apk3.6 KiB2023-07-03 22:52:45
py3-ecos-pyc-2.0.11-r4.apk3.6 KiB2024-08-07 14:26:09
swappy-lang-1.5.1-r0.apk3.6 KiB2022-11-21 22:19:43
py3-pydes-doc-2.0.1-r5.apk3.6 KiB2024-08-06 12:33:39
swig3-doc-3.0.12-r3.apk3.6 KiB2024-04-22 17:58:15
maddy-vim-0.7.1-r5.apk3.7 KiB2024-07-04 08:22:33
pulseview-doc-0.4.2-r8.apk3.7 KiB2024-04-22 17:58:13
j4-dmenu-desktop-doc-3.0-r0.apk3.7 KiB2024-08-01 12:33:44
libjodycode-doc-3.1.1-r0.apk3.7 KiB2024-07-27 06:33:35
ouch-doc-0.5.1-r0.apk3.7 KiB2023-12-24 17:13:15
wok-doc-3.0.0-r6.apk3.7 KiB2024-04-15 21:03:33
py3-flake8-snippets-pyc-0.2-r8.apk3.7 KiB2024-04-15 21:03:19
perl-role-eventemitter-0.003-r0.apk3.7 KiB2024-01-13 13:37:35
perl-test-randomresult-doc-0.001-r0.apk3.7 KiB2024-04-16 11:30:54
perl-sys-syscall-doc-0.25-r10.apk3.7 KiB2024-07-11 07:24:13
swappy-doc-1.5.1-r0.apk3.7 KiB2022-11-21 22:19:43
perl-proc-guard-0.07-r4.apk3.7 KiB2024-01-04 01:10:58
wsmancli-doc-2.6.2-r0.apk3.7 KiB2023-01-12 16:03:47
hub-zsh-completion-2.14.2-r26.apk3.7 KiB2024-07-11 13:26:58
warpinator-nemo-1.8.3-r0.apk3.7 KiB2024-02-10 08:40:37
py3-flask-accept-pyc-0.0.6-r1.apk3.7 KiB2024-04-15 21:03:19
ruby-zabbix_sender-0.1.4-r0.apk3.7 KiB2024-07-13 21:30:07
mdp-doc-1.0.15-r1.apk3.7 KiB2022-10-28 15:21:05
kind-zsh-completion-0.22.0-r5.apk3.7 KiB2024-07-04 08:22:29
sct-2018.12.18-r1.apk3.7 KiB2021-10-28 20:50:56
rdrview-doc-0.1.2-r0.apk3.7 KiB2024-06-09 14:29:48
laminar-doc-1.3-r4.apk3.7 KiB2024-04-22 17:58:03
dropwatch-doc-1.5.4-r4.apk3.7 KiB2024-03-01 15:16:17
prosody-mod-pastebin-0.11_hg20201208-r0.apk3.7 KiB2020-12-10 19:09:25
ticker-zsh-completion-4.6.3-r0.apk3.7 KiB2024-07-07 05:35:23
py3-cookiecutter-doc-2.6.0-r1.apk3.7 KiB2024-04-15 21:03:18
hexdiff-doc-0.0.53-r2.apk3.7 KiB2022-10-28 15:20:42
ocp-indent-emacs-1.8.2-r2.apk3.7 KiB2024-03-23 20:50:17
py3-pycolorterm-pyc-0.2.1-r6.apk3.7 KiB2024-07-26 02:32:18
pebble-le-doc-0.3.0-r1.apk3.7 KiB2024-04-22 17:58:12
authenticator-rs-lang-0.7.5-r0.apk3.7 KiB2023-05-29 21:09:11
py3-bottle-renderer-pyc-0.1.1-r9.apk3.7 KiB2024-04-15 21:03:18
perl-feed-find-doc-0.13-r0.apk3.7 KiB2024-01-14 15:55:33
perl-sort-versions-1.62-r0.apk3.7 KiB2024-02-10 01:02:00
perl-term-size-doc-0.211-r4.apk3.7 KiB2024-06-10 06:08:21
rauc-service-1.10.1-r0.apk3.7 KiB2023-08-08 17:38:52
py3-ovos-ocp-rss-plugin-pyc-0.0.2-r1.apk3.7 KiB2024-04-15 21:03:25
openocd-riscv-dev-0_git20230104-r1.apk3.7 KiB2023-05-03 11:10:11
py3-infinity-pyc-1.5-r5.apk3.8 KiB2024-04-15 21:03:19
volumeicon-lang-0.5.1-r1.apk3.8 KiB2022-10-28 15:21:48
perl-math-random-isaac-xs-doc-1.004-r8.apk3.8 KiB2024-06-10 06:08:21
nvim-cmp-path-0.0.0_git20221002-r1.apk3.8 KiB2024-06-18 14:37:20
perl-module-build-prereqs-fromcpanfile-doc-0.02-r0.apk3.8 KiB2024-01-19 00:18:18
autorandr-doc-1.15-r0.apk3.8 KiB2024-03-16 12:36:22
fcitx5-lua-lang-5.0.13-r0.apk3.8 KiB2024-05-10 03:34:30
libsds-dev-2.0.0-r1.apk3.8 KiB2020-12-16 07:27:26
tuptime-doc-5.2.2-r3.apk3.8 KiB2023-07-02 22:04:17
finger-doc-0.5-r0.apk3.8 KiB2024-03-09 09:11:48
perl-time-timegm-doc-0.01-r9.apk3.8 KiB2024-06-10 06:08:21
perl-test2-tools-explain-0.02-r0.apk3.8 KiB2024-03-09 06:50:11
perl-email-mime-attachment-stripper-doc-1.317-r5.apk3.8 KiB2023-07-03 22:52:45
cargo-shuttle-bash-completion-0.47.0-r0.apk3.8 KiB2024-07-25 20:45:08
perl-datetime-format-atom-doc-1.6.0-r0.apk3.8 KiB2024-01-22 11:51:48
perl-test-useallmodules-0.17-r1.apk3.8 KiB2023-07-03 22:52:46
innernet-bash-completion-1.6.1-r0.apk3.8 KiB2024-02-23 19:45:01
dumb_runtime_dir-1.0.4-r2.apk3.8 KiB2023-10-05 08:29:49
rage-doc-0.9.2-r1.apk3.8 KiB2023-07-02 22:03:57
splitter-doc-0.3.0-r0.apk3.8 KiB2024-02-25 20:38:45
csol-doc-1.6.0-r0.apk3.8 KiB2023-03-16 01:55:51
perl-tickit-widget-entry-plugin-completion-doc-0.02-r0.apk3.8 KiB2024-01-14 12:53:33
primecount-doc-7.13-r0.apk3.9 KiB2024-05-01 17:11:16
foolsm-doc-1.0.21-r0.apk3.9 KiB2022-05-21 12:41:18
perl-feed-find-0.13-r0.apk3.9 KiB2024-01-14 15:55:33
py3-flask-markdown-pyc-0.3-r8.apk3.9 KiB2024-04-15 21:03:19
py3-nanoid-pyc-2.0.0-r3.apk3.9 KiB2024-08-08 18:20:05
kind-fish-completion-0.22.0-r5.apk3.9 KiB2024-07-04 08:22:29
perl-test-useallmodules-doc-0.17-r1.apk3.9 KiB2023-07-03 22:52:46
perl-context-preserve-0.03-r4.apk3.9 KiB2023-07-03 22:52:45
emacs-avy-embark-collect-1.0_git20240327-r0.apk3.9 KiB2024-04-09 14:44:52
pwauth-2.3.11-r2.apk3.9 KiB2022-10-28 15:21:38
perl-bind-config-parser-0.01-r5.apk3.9 KiB2023-07-03 22:52:45
perl-plack-middleware-expires-0.06-r3.apk3.9 KiB2023-07-03 22:52:46
ticker-fish-completion-4.6.3-r0.apk3.9 KiB2024-07-07 05:35:23
py3-stringcase-pyc-1.2.0-r8.apk3.9 KiB2024-04-15 07:15:34
netsurf-framebuffer-doc-3.11-r0.apk3.9 KiB2024-02-03 13:33:57
perl-uri-nested-doc-0.10-r0.apk3.9 KiB2024-01-12 13:36:01
tncattach-doc-0.1.9-r1.apk3.9 KiB2022-10-28 15:21:44
glow-fish-completion-1.5.1-r9.apk3.9 KiB2024-07-04 08:22:26
z-doc-1.12-r0.apk3.9 KiB2023-12-11 01:13:14
py3-sphinxcontrib-gist-0.1.0-r9.apk3.9 KiB2024-04-15 21:03:26
mml-doc-1.0.0-r0.apk3.9 KiB2023-11-12 12:21:13
mangal-fish-completion-4.0.6-r13.apk3.9 KiB2024-07-04 08:22:33
perl-tickit-widget-choice-0.07-r0.apk3.9 KiB2024-01-14 12:53:33
py3-flask-components-0.1.1-r9.apk3.9 KiB2024-04-15 21:03:19
git-bug-fish-completion-0.8.0-r14.apk3.9 KiB2024-07-04 08:22:26
qt-wayland-shell-helpers-dev-0.1.1-r3.apk3.9 KiB2023-04-24 13:20:49
libiml-dev-1.0.5-r3.apk3.9 KiB2023-08-01 15:19:30
ocaml-menhir-doc-20220210-r2.apk3.9 KiB2024-03-23 20:49:45
perl-class-inner-0.200001-r5.apk3.9 KiB2023-12-17 22:58:19
perl-types-path-tiny-0.006-r0.apk3.9 KiB2024-01-13 13:37:35
perl-log-message-simple-doc-0.10-r3.apk4.0 KiB2023-07-03 22:52:45
cargo-machete-doc-0.6.2-r0.apk4.0 KiB2024-03-24 14:48:09
perl-role-eventemitter-doc-0.003-r0.apk4.0 KiB2024-01-13 13:37:35
ovos-skill-hello-world-pyc-0.0.4_alpha3-r1.apk4.0 KiB2024-04-15 21:03:17
perl-email-mime-attachment-stripper-1.317-r5.apk4.0 KiB2023-07-03 22:52:45
py3-luhn-0.2.0-r9.apk4.0 KiB2024-08-06 12:24:03
py3-flask-cdn-1.5.3-r7.apk4.0 KiB2024-04-15 21:03:19
xfe-doc-1.46.2-r0.apk4.0 KiB2024-06-13 03:48:08
perl-anyevent-dns-etchosts-doc-0.0105-r0.apk4.0 KiB2023-11-06 17:37:43
py3-bottle-renderer-0.1.1-r9.apk4.0 KiB2024-04-15 21:03:18
glow-zsh-completion-1.5.1-r9.apk4.0 KiB2024-07-04 08:22:26
k3sup-zsh-completion-0.13.6-r0.apk4.0 KiB2024-07-16 02:31:31
kbs2-zsh-completion-0.7.2-r3.apk4.0 KiB2023-07-29 22:01:12
git-bug-zsh-completion-0.8.0-r14.apk4.0 KiB2024-07-04 08:22:26
cowsay-doc-3.04-r2.apk4.0 KiB2022-10-28 15:20:32
mangal-zsh-completion-4.0.6-r13.apk4.0 KiB2024-07-04 08:22:33
perl-uri-nested-0.10-r0.apk4.0 KiB2024-01-12 13:36:01
py3-preggy-doc-1.4.4-r5.apk4.0 KiB2024-08-06 12:36:04
ko-zsh-completion-0.15.4-r0.apk4.0 KiB2024-07-07 12:02:48
seed7-vim-05.20240322-r0.apk4.0 KiB2024-03-24 13:17:02
buf-zsh-completion-1.34.0-r0.apk4.0 KiB2024-07-09 14:11:56
godap-zsh-completion-2.7.3-r0.apk4.0 KiB2024-07-31 21:42:35
atlas-zsh-completion-0.25.0-r0.apk4.0 KiB2024-07-22 21:12:48
py3-django-taggit-serializer-0.1.7-r8.apk4.0 KiB2024-04-15 21:03:18
topgit-bash-completion-0.19.13-r1.apk4.0 KiB2022-10-28 15:21:44
otrs-apache2-6.0.48-r2.apk4.0 KiB2024-07-07 19:11:32
kumactl-zsh-completion-2.8.1-r0.apk4.0 KiB2024-07-07 05:33:19
welle-io-doc-2.4-r5.apk4.0 KiB2024-04-24 20:49:43
virtctl-zsh-completion-1.3.0-r0.apk4.0 KiB2024-07-22 21:12:51
zot-cli-zsh-completion-2.1.0-r0.apk4.0 KiB2024-07-22 21:12:51
knative-client-zsh-completion-1.14.0-r3.apk4.0 KiB2024-07-04 08:22:29
tenv-zsh-completion-2.0.2-r2.apk4.0 KiB2024-07-04 08:22:39
regal-zsh-completion-0.24.0-r0.apk4.0 KiB2024-07-22 21:12:51
wgcf-zsh-completion-2.2.22-r3.apk4.0 KiB2024-07-04 08:22:42
shfm-0.4.2-r1.apk4.0 KiB2022-10-28 15:21:40
primesieve-doc-12.3-r0.apk4.0 KiB2024-05-01 17:11:16
cilium-cli-zsh-completion-0.16.13-r0.apk4.0 KiB2024-07-22 21:12:48
stern-zsh-completion-1.30.0-r0.apk4.0 KiB2024-07-07 07:02:11
kubeone-zsh-completion-1.8.1-r0.apk4.0 KiB2024-07-07 05:46:40
helmfile-zsh-completion-0.166.0-r0.apk4.0 KiB2024-07-10 06:21:15
py3-pacparser-pyc-1.4.5-r0.apk4.0 KiB2024-06-30 20:03:14
admesh-dev-0.98.5-r0.apk4.0 KiB2022-12-06 11:04:23
upterm-zsh-completion-0.14.3-r0.apk4.0 KiB2024-07-07 05:35:23
mcqd-dev-1.0.0-r1.apk4.0 KiB2023-08-01 15:19:35
virter-zsh-completion-0.27.0-r3.apk4.0 KiB2024-07-04 08:22:42
kubepug-zsh-completion-1.7.1-r5.apk4.0 KiB2024-07-04 08:22:31
hubble-cli-zsh-completion-0.13.6-r0.apk4.0 KiB2024-07-22 21:12:50
tetragon-client-zsh-completion-1.1.2-r0.apk4.0 KiB2024-07-07 06:03:13
headscale-zsh-completion-0.22.3-r9.apk4.1 KiB2024-07-04 08:22:27
zita-resampler-doc-1.10.1-r0.apk4.1 KiB2023-03-18 21:44:43
perl-lv-doc-0.006-r0.apk4.1 KiB2024-01-18 23:46:11
py3-logtop-pyc-0.7-r0.apk4.1 KiB2024-06-28 05:47:47
rbw-zsh-completion-1.12.1-r0.apk4.1 KiB2024-08-03 05:11:11
bomctl-zsh-completion-0.1.9-r1.apk4.1 KiB2024-07-04 08:22:20
qlcplus-doc-4.13.1-r0.apk4.1 KiB2024-06-27 22:02:55
perl-net-libresolv-doc-0.03-r1.apk4.1 KiB2024-06-10 06:08:21
heh-doc-0.6.0-r0.apk4.1 KiB2024-07-31 21:42:35
colormake-0.9.20170221-r0.apk4.1 KiB2017-10-01 07:52:10
sing-box-zsh-completion-1.9.3-r0.apk4.1 KiB2024-07-04 08:22:38
perl-html-selector-xpath-doc-0.28-r0.apk4.1 KiB2024-02-24 12:59:03
py3-flask-basicauth-pyc-0.2.0-r9.apk4.1 KiB2024-04-15 21:03:19
perl-lv-0.006-r0.apk4.1 KiB2024-01-18 23:46:11
perl-test-redisserver-doc-0.23-r0.apk4.1 KiB2024-01-17 12:17:06
py3-sphinxcontrib-bitbucket-pyc-1.0-r8.apk4.1 KiB2024-04-15 21:03:26
perl-i18n-langinfo-wide-doc-9-r4.apk4.1 KiB2023-07-03 22:52:45
perl-future-queue-0.52-r0.apk4.1 KiB2024-01-15 20:58:17
perl-types-path-tiny-doc-0.006-r0.apk4.1 KiB2024-01-13 13:37:35
py3-bottle-werkzeug-0.1.1-r9.apk4.1 KiB2024-04-15 21:03:18
py3-flask-json-schema-0.0.5-r4.apk4.1 KiB2024-04-15 21:03:19
way-displays-doc-1.8.1-r2.apk4.1 KiB2023-09-11 09:21:41
alsa-ucm-conf-asahi-5-r0.apk4.1 KiB2023-12-31 11:44:32
py3-ovos-translate-server-plugin-pyc-0.0.0-r0.apk4.1 KiB2024-05-31 06:16:30
py3-flask-cdn-pyc-1.5.3-r7.apk4.1 KiB2024-04-15 21:03:19
agrep-doc-0.8.0-r2.apk4.1 KiB2023-05-15 16:45:19
perl-class-inner-doc-0.200001-r5.apk4.1 KiB2023-12-17 22:58:19
cgo-doc-0.6.1-r1.apk4.1 KiB2022-10-28 15:20:31
megatools-bash-completion-1.11.1.20230212-r1.apk4.1 KiB2023-03-20 17:04:16
dfl-applications-dev-0.2.0-r0.apk4.1 KiB2023-12-30 13:27:00
dfl-sni-dev-0.2.0-r0.apk4.1 KiB2023-12-30 13:27:00
mint-y-theme-2.1.1-r0.apk4.1 KiB2023-06-16 22:20:27
w_scan2-doc-1.0.15-r0.apk4.1 KiB2024-01-04 08:02:27
py3-sphinxcontrib-manpage-0.6-r8.apk4.1 KiB2024-04-15 21:03:26
perl-text-brew-doc-0.02-r5.apk4.1 KiB2023-07-03 22:52:46
makeclapman-doc-2.4.1-r3.apk4.1 KiB2024-07-04 08:22:33
perl-sort-versions-doc-1.62-r0.apk4.1 KiB2024-02-10 01:02:00
repgrep-fish-completion-0.15.0-r0.apk4.1 KiB2024-01-04 19:38:39
ovn-openrc-24.03.1-r0.apk4.1 KiB2024-04-12 06:15:47
perl-tickit-widget-floatbox-doc-0.11-r0.apk4.2 KiB2024-01-14 12:53:33
nvim-cmp-buffer-doc-0.0.0_git20220810-r1.apk4.2 KiB2024-06-18 14:37:20
igrep-doc-1.2.0-r0.apk4.2 KiB2023-08-09 15:40:06
libirecovery-dev-1.1.0-r0.apk4.2 KiB2023-05-27 11:04:32
nwg-panel-doc-0.9.37-r0.apk4.2 KiB2024-07-28 20:02:03
checkpolicy-doc-3.6-r0.apk4.2 KiB2024-01-08 09:42:49
rauc-doc-1.10.1-r0.apk4.2 KiB2023-08-08 17:38:52
firewalld-bash-completion-2.1.2-r1.apk4.2 KiB2024-08-10 21:46:32
perl-clone-pp-doc-1.08-r1.apk4.2 KiB2023-07-03 22:52:45
perl-ev-hiredis-doc-0.07-r1.apk4.2 KiB2024-06-10 06:08:21
xvidtune-doc-1.0.4-r0.apk4.2 KiB2023-02-05 00:37:47
uxn-doc-1.0-r0.apk4.2 KiB2024-03-23 19:25:15
perl-sentinel-doc-0.07-r1.apk4.2 KiB2024-06-10 06:08:21
perl-test-describeme-doc-0.004-r0.apk4.2 KiB2024-04-16 17:38:40
a2jmidid-doc-9-r3.apk4.2 KiB2022-08-01 06:53:36
hikari-unlocker-2.3.3-r6.apk4.2 KiB2024-02-02 21:16:18
perl-dancer-session-cookie-doc-0.30-r2.apk4.2 KiB2023-07-03 22:52:45
perl-datetime-format-rfc3339-doc-1.8.0-r0.apk4.2 KiB2024-01-22 11:51:48
hare-scfg-0.24.2-r0.apk4.2 KiB2024-07-22 21:12:50
xisxwayland-2-r1.apk4.2 KiB2023-07-29 22:01:42
perl-file-mmagic-xs-doc-0.09008-r4.apk4.2 KiB2024-06-10 06:08:21
py3-fastdiff-pyc-0.3.0-r5.apk4.2 KiB2024-08-05 23:33:36
py3-log-symbols-0.0.14-r5.apk4.2 KiB2024-08-05 23:33:36
perl-clone-choose-doc-0.010-r5.apk4.2 KiB2024-07-02 18:29:13
flightgear-bash-completion-2020.3.19-r1.apk4.2 KiB2024-04-22 17:58:02
libjodycode-dev-3.1.1-r0.apk4.2 KiB2024-07-27 06:33:35
perl-i18n-langinfo-wide-9-r4.apk4.2 KiB2023-07-03 22:52:45
py3-mando-doc-0.7.1-r2.apk4.2 KiB2024-04-15 21:03:24
perl-context-preserve-doc-0.03-r4.apk4.2 KiB2023-07-03 22:52:45
perl-log-message-simple-0.10-r3.apk4.2 KiB2023-07-03 22:52:45
tnef-doc-1.4.18-r0.apk4.2 KiB2024-03-30 17:37:48
py3-daterangestr-pyc-0.0.3-r8.apk4.2 KiB2024-04-15 21:03:18
transmission-remote-gtk-doc-1.6.0-r0.apk4.2 KiB2023-07-02 22:04:13
alarmwakeup-utils-0.2.1-r0.apk4.2 KiB2023-10-06 05:49:06
perl-devel-refcount-doc-0.10-r1.apk4.2 KiB2024-06-10 06:08:21
perl-test-api-doc-0.010-r2.apk4.2 KiB2023-07-03 22:52:46
py3-sphinxcontrib-cacoo-pyc-2.0.0-r7.apk4.2 KiB2024-04-15 21:03:26
colorpicker-0_git20201128-r1.apk4.3 KiB2022-10-28 15:20:32
py3-bottle-werkzeug-pyc-0.1.1-r9.apk4.3 KiB2024-04-15 21:03:18
gmenuharness-dev-0.1.4-r1.apk4.3 KiB2024-06-22 10:57:53
py3-barcodenumber-pyc-0.2.1-r10.apk4.3 KiB2024-04-15 21:03:18
powerstat-doc-0.04.01-r0.apk4.3 KiB2024-01-03 12:58:00
ruby-rspec-its-1.3.0-r0.apk4.3 KiB2024-07-13 21:29:06
k3sup-fish-completion-0.13.6-r0.apk4.3 KiB2024-07-16 02:31:31
perl-future-queue-doc-0.52-r0.apk4.3 KiB2024-01-15 20:58:17
logc-czmq-0.1.0-r0.apk4.3 KiB2023-11-18 17:32:24
py3-bottle-pgsql-0.2-r5.apk4.3 KiB2024-04-15 21:03:18
qpdfview-doc-0.5-r1.apk4.3 KiB2024-07-12 12:46:00
pash-2.3.0-r2.apk4.3 KiB2022-10-28 15:21:33
libaudec-dev-0.3.4-r3.apk4.3 KiB2023-07-29 22:01:12
perl-musicbrainz-discid-doc-0.06-r1.apk4.3 KiB2024-06-10 06:08:21
ko-fish-completion-0.15.4-r0.apk4.3 KiB2024-07-07 12:02:48
scrypt-doc-1.3.2-r0.apk4.3 KiB2023-10-03 09:43:03
rankwidth-static-0.9-r3.apk4.3 KiB2023-08-01 15:19:52
emacs-emacsql-sqlite-module-3.1.1_git20240714-r0.apk4.3 KiB2024-07-29 17:02:17
buf-fish-completion-1.34.0-r0.apk4.3 KiB2024-07-09 14:11:56
perl-dbix-datasource-0.02-r5.apk4.3 KiB2023-07-03 22:52:45
libdng-doc-0.1.1-r0.apk4.3 KiB2024-01-21 22:37:00
py3-pyqrcode-doc-1.2.1-r0.apk4.3 KiB2024-05-08 22:37:11
perl-clone-choose-0.010-r5.apk4.3 KiB2024-07-02 18:29:13
godap-fish-completion-2.7.3-r0.apk4.3 KiB2024-07-31 21:42:35
zot-cli-fish-completion-2.1.0-r0.apk4.3 KiB2024-07-22 21:12:51
atlas-fish-completion-0.25.0-r0.apk4.3 KiB2024-07-22 21:12:48
wgcf-fish-completion-2.2.22-r3.apk4.3 KiB2024-07-04 08:22:42
virtctl-fish-completion-1.3.0-r0.apk4.3 KiB2024-07-22 21:12:51
regal-fish-completion-0.24.0-r0.apk4.3 KiB2024-07-22 21:12:51
kumactl-fish-completion-2.8.1-r0.apk4.3 KiB2024-07-07 05:33:19
stern-fish-completion-1.30.0-r0.apk4.3 KiB2024-07-07 07:02:11
helmfile-fish-completion-0.166.0-r0.apk4.3 KiB2024-07-10 06:21:15
cilium-cli-fish-completion-0.16.13-r0.apk4.3 KiB2024-07-22 21:12:48
tenv-fish-completion-2.0.2-r2.apk4.3 KiB2024-07-04 08:22:39
hubble-cli-fish-completion-0.13.6-r0.apk4.3 KiB2024-07-22 21:12:50
tetragon-client-fish-completion-1.1.2-r0.apk4.3 KiB2024-07-07 06:03:13
headscale-fish-completion-0.22.3-r9.apk4.3 KiB2024-07-04 08:22:27
virter-fish-completion-0.27.0-r3.apk4.3 KiB2024-07-04 08:22:42
lua5.2-editorconfig-0.3.0-r0.apk4.3 KiB2021-04-12 14:26:15
xsane-doc-0.999-r1.apk4.3 KiB2022-12-16 07:48:07
kubepug-fish-completion-1.7.1-r5.apk4.3 KiB2024-07-04 08:22:31
perl-net-mqtt-trace-1.163170-r0.apk4.3 KiB2024-04-16 17:38:40
py3-sphinxcontrib-httpdomain-lang-1.8.1-r3.apk4.3 KiB2024-04-15 21:03:26
bomctl-fish-completion-0.1.9-r1.apk4.3 KiB2024-07-04 08:22:20
sing-box-fish-completion-1.9.3-r0.apk4.3 KiB2024-07-04 08:22:38
py3-daterangestr-0.0.3-r8.apk4.4 KiB2024-04-15 21:03:18
lua5.4-editorconfig-0.3.0-r0.apk4.4 KiB2021-04-12 14:26:15
kompose-fish-completion-1.31.2-r5.apk4.4 KiB2024-07-04 08:22:30
eatmemory-0.1.6-r2.apk4.4 KiB2022-10-28 15:20:33
lua5.3-editorconfig-0.3.0-r0.apk4.4 KiB2021-04-12 14:26:15
gambit-doc-4.9.5-r0.apk4.4 KiB2024-01-21 13:57:39
qsynth-doc-1.0.0-r0.apk4.4 KiB2024-06-30 15:27:51
py3-ovos-phal-plugin-ipgeo-pyc-0.0.2-r1.apk4.4 KiB2024-04-15 21:03:25
spread-sheet-widget-doc-0.8-r0.apk4.4 KiB2021-11-13 22:25:44
netsurf-doc-3.11-r0.apk4.4 KiB2024-02-03 13:33:56
perl-mojo-reactor-ioasync-doc-1.002-r0.apk4.4 KiB2024-01-12 13:36:01
py3-ovos-vad-plugin-webrtcvad-pyc-0.0.1-r1.apk4.4 KiB2024-05-31 06:16:30
perl-number-misc-doc-1.2-r5.apk4.4 KiB2023-10-17 11:18:57
kanister-tools-zsh-completion-0.109.0-r0.apk4.4 KiB2024-07-07 05:33:18
py3-remind-pyc-0.18.0-r4.apk4.4 KiB2024-08-06 13:00:30
perl-template-plugin-number-format-doc-1.06-r4.apk4.4 KiB2023-07-03 22:52:46
perl-test-requires-git-doc-1.008-r0.apk4.4 KiB2024-02-05 16:09:43
py3-flake8-print-pyc-5.0.0-r5.apk4.4 KiB2024-04-15 21:03:19
nvimpager-doc-0.12.0-r0.apk4.4 KiB2023-07-02 22:03:37
youki-dbg-0.3.1-r1.apk4.4 KiB2024-06-03 18:44:03
perl-test2-tools-explain-doc-0.02-r0.apk4.4 KiB2024-03-09 06:50:11
setroot-doc-2.0.2-r1.apk4.4 KiB2022-10-28 15:21:40
perl-datetime-format-rfc3339-1.8.0-r0.apk4.4 KiB2024-01-22 11:51:48
py3-marshmallow-enum-pyc-1.5.1-r7.apk4.5 KiB2024-04-15 21:03:24
cargo-shuttle-fish-completion-0.47.0-r0.apk4.5 KiB2024-07-25 20:45:08
zrepl-bash-completion-0.6.1-r6.apk4.5 KiB2024-07-04 08:22:44
libwhich-1.2.0-r0.apk4.5 KiB2022-11-26 01:29:40
ocaml-stdlib-shims-0.3.0-r2.apk4.5 KiB2024-03-23 20:50:05
py3-ask-pyc-0.0.8-r8.apk4.5 KiB2024-04-15 21:03:17
libb64-2.0.0.1-r0.apk4.5 KiB2024-06-16 11:26:16
soundconverter-doc-4.0.5-r0.apk4.5 KiB2024-07-04 08:22:38
speedtest-go-doc-1.1.5-r10.apk4.5 KiB2024-07-04 08:22:38
wakeonlan-0.42-r0.apk4.5 KiB2024-06-26 08:04:58
paperkey-doc-1.6-r2.apk4.5 KiB2023-12-19 14:55:59
codeberg-cli-fish-completion-0.4.3-r0.apk4.5 KiB2024-07-29 10:19:25
flauschige-uhr-0.1-r1.apk4.5 KiB2022-10-28 15:20:40
perl-anyevent-mqtt-monitor-1.212810-r0.apk4.5 KiB2024-04-16 17:38:40
godot-doc-4.2.2-r0.apk4.5 KiB2024-06-26 04:36:49
py3-ovos-vad-plugin-webrtcvad-0.0.1-r1.apk4.5 KiB2024-05-31 06:16:30
py3-ovos-phal-plugin-ipgeo-0.0.2-r1.apk4.5 KiB2024-04-15 21:03:25
sblim-wbemcli-doc-1.6.3-r1.apk4.5 KiB2022-10-28 15:21:40
py3-click-default-group-pyc-1.2.4-r1.apk4.5 KiB2024-04-15 21:03:18
iprange-doc-1.0.4-r1.apk4.5 KiB2022-10-28 15:20:43
innernet-fish-completion-1.6.1-r0.apk4.5 KiB2024-02-23 19:45:01
perl-text-brew-0.02-r5.apk4.5 KiB2023-07-03 22:52:46
base64c-0.2.1-r0.apk4.5 KiB2023-11-18 17:32:24
gr-satellites-doc-5.5.0-r1.apk4.5 KiB2024-04-29 16:49:12
fuzzylite-6.0-r0.apk4.5 KiB2023-04-17 12:06:01
gufw-doc-24.04-r2.apk4.5 KiB2024-08-07 12:44:04
slidge-doc-0.1.3-r0.apk4.5 KiB2024-06-14 03:21:12
perl-sql-abstract-pg-doc-1.0-r0.apk4.5 KiB2024-01-12 13:36:01
ffsend-zsh-completion-0.2.76-r4.apk4.6 KiB2023-07-02 22:01:57
perl-pod-cpandoc-0.16-r6.apk4.6 KiB2023-07-03 22:52:46
ticker-bash-completion-4.6.3-r0.apk4.6 KiB2024-07-07 05:35:23
perl-uri-redis-doc-0.02-r0.apk4.6 KiB2024-01-15 20:58:17
perl-clone-pp-1.08-r1.apk4.6 KiB2023-07-03 22:52:45
alarmwakeup-libs-0.2.1-r0.apk4.6 KiB2023-10-06 05:49:06
perl-anyevent-mocktcpserver-doc-1.172150-r0.apk4.6 KiB2024-04-16 17:38:40
envsubst-0.1-r1.apk4.6 KiB2022-06-28 05:28:03
opkg-utils-doc-0.4.5-r1.apk4.6 KiB2022-10-28 15:21:32
apache2-mod-realdoc-1-r1.apk4.6 KiB2019-03-04 20:17:45
usbguard-bash-completion-1.1.3-r1.apk4.6 KiB2024-07-14 22:15:40
hub-bash-completion-2.14.2-r26.apk4.6 KiB2024-07-11 13:26:58
fnf-doc-0.1-r0.apk4.6 KiB2024-03-09 07:45:08
perl-algorithm-cron-doc-0.10-r4.apk4.6 KiB2023-07-03 22:52:45
tomcat9-openrc-9.0.93-r0.apk4.6 KiB2024-08-10 17:31:06
z-1.12-r0.apk4.6 KiB2023-12-11 01:13:14
manticore-dev-6.2.12-r1.apk4.6 KiB2024-05-24 02:46:40
py3-hurry.filesize-0.9-r8.apk4.6 KiB2024-08-05 23:33:36
py3-cjkwrap-2.2-r4.apk4.6 KiB2024-08-05 23:33:36
sentinel-proxy-dev-2.1.0-r0.apk4.6 KiB2023-11-18 17:32:24
ry-0.5.2-r1.apk4.6 KiB2022-10-28 15:21:40
py3-visitor-0.1.3-r7.apk4.6 KiB2024-08-06 13:00:44
wiki-tui-doc-0.8.2-r0.apk4.6 KiB2023-08-12 05:59:34
perl-tickit-widget-entry-plugin-completion-0.02-r0.apk4.6 KiB2024-01-14 12:53:33
apt-mirror-doc-0.5.4-r0.apk4.6 KiB2023-01-05 22:23:03
ruby-build-doc-20240612-r0.apk4.6 KiB2024-06-13 05:03:19
surf-doc-2.1-r3.apk4.6 KiB2024-05-13 07:58:30
py3-bottle-websocket-0.2.9-r8.apk4.6 KiB2024-04-15 21:03:18
yaru-common-23.10.0-r0.apk4.6 KiB2024-04-18 02:06:43
trippy-zsh-completion-0.10.0-r0.apk4.6 KiB2024-04-05 22:45:36
clevis-extra-pins-0_git20230629-r0.apk4.7 KiB2024-02-13 23:31:49
eboard-doc-1.1.3-r1.apk4.7 KiB2023-07-29 22:00:15
py3-pip-system-certs-pyc-4.0-r1.apk4.7 KiB2024-04-15 21:03:25
quodlibet-bash-completion-4.6.0-r1.apk4.7 KiB2024-04-15 21:03:27
findtow-0.1-r0.apk4.7 KiB2022-01-27 01:32:20
perl-tickit-widget-floatbox-0.11-r0.apk4.7 KiB2024-01-14 12:53:33
py3-bottle-sqlite-0.2.0-r7.apk4.7 KiB2024-04-15 21:03:18
perl-url-encode-doc-0.03-r4.apk4.7 KiB2023-07-03 22:52:46
py3-crc16-pyc-0.1.1-r10.apk4.7 KiB2024-04-15 21:03:18
haredo-doc-1.0.4-r1.apk4.7 KiB2024-03-16 17:42:04
i2util-doc-4.2.1-r1.apk4.7 KiB2022-10-28 15:20:42
rattler-build-fish-completion-0.18.0-r0.apk4.7 KiB2024-06-19 21:03:31
ansiweather-1.19.0-r1.apk4.7 KiB2023-07-29 21:59:51
mkdocs-bootswatch-pyc-1.1-r5.apk4.7 KiB2024-08-04 21:33:44
jsmn-1.1.0-r2.apk4.7 KiB2024-01-19 17:04:02
linuxptp-hwstamp_ctl-4.3-r0.apk4.7 KiB2024-06-20 02:04:59
perl-perlio-locale-0.10-r12.apk4.7 KiB2024-06-10 06:08:21
console_bridge-dev-1.0.2-r0.apk4.7 KiB2022-11-08 23:03:32
py3-ovos-microphone-plugin-alsa-pyc-0.0.0-r1.apk4.7 KiB2024-04-15 21:03:25
snore-0.3.1-r0.apk4.7 KiB2023-11-14 19:46:37
apache-mod-auth-openidc-doc-2.4.15.7-r1.apk4.7 KiB2024-05-07 03:38:50
perl-mojo-reactor-ioasync-1.002-r0.apk4.7 KiB2024-01-12 13:36:01
php82-pecl-apfd-1.0.3-r0.apk4.7 KiB2023-12-20 16:48:44
sc-im-doc-0.8.3-r1.apk4.7 KiB2024-07-02 18:29:13
php83-pecl-apfd-1.0.3-r0.apk4.7 KiB2023-12-20 16:48:44
xmag-doc-1.0.7-r1.apk4.7 KiB2023-08-01 15:20:08
libuecc-dev-7-r3.apk4.8 KiB2023-10-18 16:22:44
task3-zsh-completion-3.1.0-r0.apk4.8 KiB2024-08-08 14:12:39
octoprint-creality2xfix-0.0.4-r1.apk4.8 KiB2024-04-16 00:38:44
pdf2svg-0.2.3-r1.apk4.8 KiB2022-10-28 15:21:33
perl-test-requires-git-1.008-r0.apk4.8 KiB2024-02-05 16:09:43
hx-doc-1.0.15-r0.apk4.8 KiB2024-08-03 11:14:15
py3-stringcase-1.2.0-r8.apk4.8 KiB2024-04-15 07:15:34
perl-email-reply-doc-1.204-r5.apk4.8 KiB2023-07-03 22:52:45
caps2esc-0.3.2-r0.apk4.8 KiB2023-07-02 22:01:13
odin-doc-0.2024.08-r0.apk4.8 KiB2024-08-03 04:11:32
pastel-zsh-completion-0.9.0-r3.apk4.8 KiB2024-06-29 02:30:52
libcork-tools-0.15.0-r7.apk4.8 KiB2023-12-07 15:23:35
py3-bottle-sqlalchemy-0.4.3-r8.apk4.8 KiB2024-04-15 21:03:18
perl-xml-rpc-doc-2.1-r0.apk4.9 KiB2024-05-22 20:04:04
perl-git-version-compare-doc-1.005-r0.apk4.9 KiB2024-02-05 16:09:43
ecm-dev-7.0.5-r1.apk4.9 KiB2023-05-15 16:45:29
py3-bottle-api-0.0.4-r7.apk4.9 KiB2024-04-15 21:03:18
horizon-dev-0.9.6-r9.apk4.9 KiB2024-04-22 17:58:03
ngs-vim-0.2.14-r0.apk4.9 KiB2022-10-08 22:04:52
perl-pod-cpandoc-doc-0.16-r6.apk4.9 KiB2023-07-03 22:52:46
kanister-tools-fish-completion-0.109.0-r0.apk4.9 KiB2024-07-07 05:33:18
perl-template-plugin-number-format-1.06-r4.apk4.9 KiB2023-07-03 22:52:46
catcodec-doc-1.0.5-r2.apk4.9 KiB2022-05-14 12:21:50
codeberg-cli-bash-completion-0.4.3-r0.apk4.9 KiB2024-07-29 10:19:25
asteroid-camera-2.0.0-r0.apk4.9 KiB2023-08-31 09:41:34
perl-anyevent-mocktcpserver-1.172150-r0.apk4.9 KiB2024-04-16 17:38:40
perl-test-utf8-doc-1.02-r2.apk4.9 KiB2023-07-03 22:52:46
perl-dancer-plugin-dbic-0.2104-r5.apk4.9 KiB2023-07-03 22:52:45
py3-scs-pyc-3.2.3-r4.apk4.9 KiB2024-08-06 12:46:18
xfd-doc-1.1.4-r0.apk4.9 KiB2022-12-04 09:12:42
py3-sphinxcontrib-sqltable-pyc-2.0.0-r8.apk4.9 KiB2024-04-15 21:03:26
usbguard-notifier-doc-0.1.1-r0.apk4.9 KiB2024-06-08 16:03:41
openslide-doc-3.4.1-r3.apk4.9 KiB2023-08-01 15:19:36
perl-test-settings-0.003-r0.apk4.9 KiB2024-04-16 17:38:40
py3-flask-autorouter-pyc-0.2.2-r3.apk5.0 KiB2024-04-15 21:03:19
rofi-pass-doc-2.0.2-r2.apk5.0 KiB2021-12-29 20:51:19
perl-linux-pid-0.04-r13.apk5.0 KiB2024-06-10 06:08:21
py3-pytest-expect-1.1.0-r9.apk5.0 KiB2024-04-17 02:54:45
perl-uri-tcp-doc-2.0.0-r0.apk5.0 KiB2024-01-15 20:58:17
py3-django-taggit-serializer-pyc-0.1.7-r8.apk5.0 KiB2024-04-15 21:03:18
git-revise-doc-0.7.0-r5.apk5.0 KiB2024-08-04 21:17:28
hyprcursor-dev-0.1.9-r0.apk5.0 KiB2024-06-27 05:58:10
py3-ovos-phal-plugin-connectivity-events-0.0.3-r1.apk5.0 KiB2024-04-15 21:03:25
projectsandcastle-loader-0_git20200307-r1.apk5.0 KiB2022-10-28 15:21:38
perl-test-redisserver-0.23-r0.apk5.0 KiB2024-01-17 12:17:06
mangal-bash-completion-4.0.6-r13.apk5.0 KiB2024-07-04 08:22:33
py3-flask-accept-0.0.6-r1.apk5.0 KiB2024-04-15 21:03:19
glow-bash-completion-1.5.1-r9.apk5.0 KiB2024-07-04 08:22:26
libopensmtpd-doc-0.7-r0.apk5.0 KiB2022-02-19 01:19:31
py3-sphinxcontrib-slide-1.0.0-r3.apk5.0 KiB2024-04-15 21:03:26
rofi-json-menu-0.2.0-r0.apk5.0 KiB2022-02-01 20:25:13
py3-ask-0.0.8-r8.apk5.0 KiB2024-04-15 21:03:17
k3sup-bash-completion-0.13.6-r0.apk5.0 KiB2024-07-16 02:31:31
ckb-next-dev-0.6.0-r1.apk5.0 KiB2023-07-19 19:10:52
ruby-semantic-1.6.1-r0.apk5.0 KiB2024-07-13 21:30:22
ko-bash-completion-0.15.4-r0.apk5.0 KiB2024-07-07 12:02:48
godap-bash-completion-2.7.3-r0.apk5.0 KiB2024-07-31 21:42:35
mailctl-doc-0.9.2-r0.apk5.0 KiB2024-01-02 20:57:11
regal-bash-completion-0.24.0-r0.apk5.0 KiB2024-07-22 21:12:51
tenv-bash-completion-2.0.2-r2.apk5.1 KiB2024-07-04 08:22:39
linux-timemachine-1.3.2-r0.apk5.1 KiB2022-11-21 04:12:44
zot-cli-bash-completion-2.1.0-r0.apk5.1 KiB2024-07-22 21:12:51
wgcf-bash-completion-2.2.22-r3.apk5.1 KiB2024-07-04 08:22:42
atlas-bash-completion-0.25.0-r0.apk5.1 KiB2024-07-22 21:12:48
hyprcursor-doc-0.1.9-r0.apk5.1 KiB2024-06-27 05:58:10
perl-io-async-resolver-dns-doc-0.06-r0.apk5.1 KiB2023-12-30 11:58:34
xfce4-hamster-plugin-lang-1.17-r0.apk5.1 KiB2022-02-22 08:20:30
cilium-cli-bash-completion-0.16.13-r0.apk5.1 KiB2024-07-22 21:12:48
hubble-cli-bash-completion-0.13.6-r0.apk5.1 KiB2024-07-22 21:12:50
cargo-run-bin-doc-1.7.2-r0.apk5.1 KiB2024-01-19 02:11:36
py3-pygpgme-pyc-0.3.1-r9.apk5.1 KiB2024-08-05 23:33:36
perl-sql-abstract-pg-1.0-r0.apk5.1 KiB2024-01-12 13:36:01
virter-bash-completion-0.27.0-r3.apk5.1 KiB2024-07-04 08:22:42
tetragon-client-bash-completion-1.1.2-r0.apk5.1 KiB2024-07-07 06:03:13
perl-protocol-redis-doc-1.0011-r0.apk5.1 KiB2024-01-12 13:36:01
py3-flask-autorouter-0.2.2-r3.apk5.1 KiB2024-04-15 21:03:19
edward-doc-1.0.1-r2.apk5.1 KiB2024-07-25 14:14:36
lsip6-pyc-0.2.0-r1.apk5.1 KiB2024-04-15 21:03:15
perl-test-api-0.010-r2.apk5.1 KiB2023-07-03 22:52:46
wmctrl-doc-1.07-r1.apk5.1 KiB2022-02-18 14:44:45
perl-algorithm-c3-doc-0.11-r1.apk5.1 KiB2023-07-03 22:52:45
minidyndns-doc-1.3.0-r3.apk5.1 KiB2021-10-19 01:46:22
py3-ovos-phal-plugin-connectivity-events-pyc-0.0.3-r1.apk5.1 KiB2024-04-15 21:03:25
perl-anyevent-dns-etchosts-0.0105-r0.apk5.1 KiB2023-11-06 17:37:43
virtctl-bash-completion-1.3.0-r0.apk5.1 KiB2024-07-22 21:12:51
lxd-feature-bash-completion-5.20-r5.apk5.1 KiB2024-07-04 08:22:33
headscale-bash-completion-0.22.3-r9.apk5.1 KiB2024-07-04 08:22:27
kubepug-bash-completion-1.7.1-r5.apk5.1 KiB2024-07-04 08:22:31
helmfile-bash-completion-0.166.0-r0.apk5.1 KiB2024-07-10 06:21:15
sing-box-bash-completion-1.9.3-r0.apk5.1 KiB2024-07-04 08:22:38
bomctl-bash-completion-0.1.9-r1.apk5.1 KiB2024-07-04 08:22:20
moderncli-doc-0.8.1-r0.apk5.1 KiB2024-02-13 21:03:33
kine-doc-0.10.1-r8.apk5.1 KiB2024-07-04 08:22:29
py3-click-default-group-1.2.4-r1.apk5.1 KiB2024-04-15 21:03:18
lomiri-thumbnailer-dev-3.0.3-r2.apk5.1 KiB2024-06-22 10:57:53
perl-url-encode-0.03-r4.apk5.2 KiB2023-07-03 22:52:46
perl-path-iter-doc-0.2-r3.apk5.2 KiB2023-07-03 22:52:45
paperde-dev-0.2.1-r2.apk5.2 KiB2024-07-11 13:15:04
perl-ffi-platypus-type-enum-doc-0.06-r0.apk5.2 KiB2024-02-03 09:53:28
perl-anyevent-future-0.05-r0.apk5.2 KiB2023-11-15 17:32:44
perl-color-ansi-util-doc-0.165-r0.apk5.2 KiB2024-04-16 11:30:54
py3-radon-doc-6.0.1-r1.apk5.2 KiB2024-04-15 21:03:25
perl-net-irr-doc-0.10-r0.apk5.2 KiB2024-03-14 17:21:38
tre-dev-0.8.0-r2.apk5.2 KiB2023-05-15 16:46:26
py3-bottle-rest-pyc-0.6.0-r1.apk5.2 KiB2024-04-15 21:03:18
perl-digest-bcrypt-doc-1.212-r1.apk5.2 KiB2023-07-03 22:52:45
rankwidth-libs-0.9-r3.apk5.2 KiB2023-08-01 15:19:52
git-bug-bash-completion-0.8.0-r14.apk5.2 KiB2024-07-04 08:22:26
perl-number-misc-1.2-r5.apk5.2 KiB2023-10-17 11:18:57
minimodem-doc-0.24-r1.apk5.2 KiB2022-10-28 15:21:05
py3-flake8-blind-except-0.2.1-r4.apk5.2 KiB2024-04-15 21:03:18
perl-ffi-platypus-type-enum-0.06-r0.apk5.2 KiB2024-02-03 09:53:28
py3-bottle-api-pyc-0.0.4-r7.apk5.2 KiB2024-04-15 21:03:18
perl-path-iter-0.2-r3.apk5.2 KiB2023-07-03 22:52:45
perl-text-table-sprintf-doc-0.008-r0.apk5.2 KiB2024-02-25 17:26:31
perl-string-compare-constanttime-doc-0.321-r6.apk5.2 KiB2024-06-10 06:08:21
sydbox-vim-3.21.3-r0.apk5.2 KiB2024-06-12 11:18:32
gamemode-dev-0_git20240327-r0.apk5.2 KiB2024-06-04 11:51:24
zita-njbridge-doc-0.4.8-r1.apk5.2 KiB2022-10-28 15:21:49
py3-cjkwrap-pyc-2.2-r4.apk5.2 KiB2024-08-05 23:33:36
py3-bottle-sqlite-pyc-0.2.0-r7.apk5.2 KiB2024-04-15 21:03:18
py3-nanoid-2.0.0-r3.apk5.2 KiB2024-08-08 18:20:05
dnsenum-doc-1.3.2-r0.apk5.2 KiB2024-04-24 01:32:59
mobpass-pyc-0.2-r6.apk5.2 KiB2024-08-04 21:37:43
json2tsv-doc-1.2-r0.apk5.2 KiB2024-08-05 20:12:50
py3-iterable-io-pyc-1.0.0-r0.apk5.3 KiB2024-06-27 05:58:10
perl-guard-doc-1.023-r9.apk5.3 KiB2024-06-10 06:08:21
perl-test-memorygrowth-doc-0.04-r0.apk5.3 KiB2024-01-24 10:08:53
py3-marshmallow-enum-1.5.1-r7.apk5.3 KiB2024-04-15 21:03:24
xmp-doc-4.2.0-r0.apk5.3 KiB2023-08-21 00:04:39
lua-resty-redis-0.29-r0.apk5.3 KiB2023-02-16 20:58:03
py3-flake8-snippets-0.2-r8.apk5.3 KiB2024-04-15 21:03:19
perl-net-async-redis-xs-doc-1.001-r1.apk5.3 KiB2024-06-10 06:08:21
perl-dbicx-sugar-doc-0.0200-r5.apk5.3 KiB2023-07-03 22:52:45
perl-class-c3-componentised-doc-1.001002-r2.apk5.3 KiB2023-07-03 22:52:45
py3-flask-basicauth-0.2.0-r9.apk5.3 KiB2024-04-15 21:03:19
perl-sys-syscall-0.25-r10.apk5.4 KiB2024-07-11 07:24:13
perl-dancer-plugin-dbic-doc-0.2104-r5.apk5.4 KiB2023-07-03 22:52:45
perl-text-table-sprintf-0.008-r0.apk5.4 KiB2024-02-25 17:26:31
py3-pickle-secure-pyc-0.99.9-r1.apk5.4 KiB2024-04-15 07:15:34
neo4j-client-doc-2.2.0-r3.apk5.4 KiB2022-08-21 01:34:49
logc-config-0.5.0-r0.apk5.4 KiB2023-11-18 17:32:24
base64c-dev-0.2.1-r0.apk5.4 KiB2023-11-18 17:32:24
lomiri-action-api-dev-1.1.3-r1.apk5.4 KiB2024-06-22 10:57:53
py3-flake8-isort-pyc-6.1.1-r1.apk5.4 KiB2024-04-15 21:03:19
ocaml-mirage-random-dev-3.0.0-r3.apk5.4 KiB2024-03-23 20:49:45
libmpfi-dev-1.5.4-r2.apk5.4 KiB2023-08-01 15:19:30
par2cmdline-turbo-doc-1.1.1-r0.apk5.4 KiB2023-12-01 01:00:44
startup-fish-completion-2.0.3-r4.apk5.4 KiB2023-07-02 22:04:07
perl-git-version-compare-1.005-r0.apk5.4 KiB2024-02-05 16:09:43
serialdv-dev-1.1.4-r0.apk5.4 KiB2023-02-22 12:07:26
spvm-mime-base64-doc-1.001003-r1.apk5.4 KiB2024-06-10 06:08:21
perl-anyevent-future-doc-0.05-r0.apk5.4 KiB2023-11-15 17:32:44
php84-ctype-8.4.0_alpha4-r0.apk5.4 KiB2024-08-01 12:09:13
py3-setuptools-lint-0.6.0-r9.apk5.4 KiB2024-04-15 21:03:26
ghq-doc-1.6.2-r0.apk5.4 KiB2024-07-22 21:12:49
py3-dbus-fast-doc-2.22.1-r0.apk5.4 KiB2024-06-29 01:22:11
php81-ctype-8.1.29-r0.apk5.4 KiB2024-06-06 20:04:14
flightgear-zsh-completion-2020.3.19-r1.apk5.4 KiB2024-04-22 17:58:03
rattler-build-zsh-completion-0.18.0-r0.apk5.4 KiB2024-06-19 21:03:31
perl-test-class-tiny-doc-0.03-r0.apk5.4 KiB2024-02-05 16:12:39
perl-conf-libconfig-doc-1.0.3-r0.apk5.4 KiB2024-06-11 06:08:29
py3-ovos-tts-server-plugin-pyc-0.0.2_alpha13-r1.apk5.4 KiB2024-05-31 06:16:30
vbindiff-doc-3.0_beta5-r1.apk5.4 KiB2022-10-28 15:21:48
innernet-zsh-completion-1.6.1-r0.apk5.5 KiB2024-02-23 19:45:01
py3-pycolorterm-0.2.1-r6.apk5.5 KiB2024-07-26 02:32:18
perl-dancer-session-cookie-0.30-r2.apk5.5 KiB2023-07-03 22:52:45
perl-sort-naturally-doc-1.03-r4.apk5.5 KiB2023-07-03 22:52:46
perl-net-irr-0.10-r0.apk5.5 KiB2024-03-14 17:21:38
fcitx5-chinese-addons-dev-5.1.6-r1.apk5.5 KiB2024-08-02 14:30:48
perl-data-validate-domain-doc-0.15-r0.apk5.5 KiB2024-01-14 12:52:29
luksmeta-doc-9-r0.apk5.5 KiB2022-06-17 12:01:14
lsip6-0.2.0-r1.apk5.5 KiB2024-04-15 21:03:15
font-fantasque-sans-doc-1.8.0-r0.apk5.5 KiB2019-11-22 15:17:37
autoconf-policy-0.1-r0.apk5.5 KiB2020-06-12 08:45:10
perl-scalar-readonly-0.03-r1.apk5.5 KiB2024-06-10 06:08:21
boxed-cpp-doc-1.4.2-r0.apk5.5 KiB2024-07-17 16:45:50
lockrun-1.1.3-r1.apk5.5 KiB2022-10-28 15:21:02
py3-sphinx-theme-guzzle-pyc-0.7.11-r7.apk5.5 KiB2024-08-05 23:33:36
py3-requests-wsgi-adapter-0.4.1-r1.apk5.5 KiB2024-04-15 21:03:25
yamlfmt-doc-0.12.1-r1.apk5.5 KiB2024-07-04 08:22:43
kubeseal-doc-0.27.1-r0.apk5.5 KiB2024-07-22 21:12:50
libunicode-doc-0.4.0-r0.apk5.5 KiB2024-01-19 00:29:39
upterm-bash-completion-0.14.3-r0.apk5.5 KiB2024-07-07 05:35:23
php82-snappy-0.2.1-r1.apk5.5 KiB2023-05-13 20:21:40
etcd-doc-3.5.14-r1.apk5.5 KiB2024-07-04 08:22:24
perl-class-c3-componentised-1.001002-r2.apk5.5 KiB2023-07-03 22:52:45
herbe-1.0.0-r0.apk5.5 KiB2022-08-10 16:41:57
qperf-doc-0.4.11-r1.apk5.5 KiB2022-10-28 15:21:38
tick-doc-1.2.0-r0.apk5.5 KiB2024-05-31 13:22:17
mrsh-0_git20210518-r1.apk5.5 KiB2022-10-28 15:21:06
libb64-dev-2.0.0.1-r0.apk5.5 KiB2024-06-16 11:26:16
wol-doc-0.7.1-r2.apk5.5 KiB2023-08-17 17:12:44
py3-sphinxcontrib-slide-pyc-1.0.0-r3.apk5.5 KiB2024-04-15 21:03:26
prosody-mod-mam_muc-0.11_hg20201208-r0.apk5.6 KiB2020-12-10 19:09:25
bgs-0.8-r1.apk5.6 KiB2022-10-28 15:20:31
macchina-doc-6.1.8-r1.apk5.6 KiB2023-05-24 14:04:14
py3-rst-0.1-r9.apk5.6 KiB2024-08-08 18:20:05
tayga-doc-0.9.2-r0.apk5.6 KiB2023-01-23 07:26:37
cargo-shuttle-zsh-completion-0.47.0-r0.apk5.6 KiB2024-07-25 20:45:08
py3-flask-loopback-1.4.7-r7.apk5.6 KiB2024-04-15 21:03:19
kanister-tools-bash-completion-0.109.0-r0.apk5.6 KiB2024-07-07 05:33:18
perl-digest-bcrypt-1.212-r1.apk5.6 KiB2023-07-03 22:52:45
perl-protocol-redis-1.0011-r0.apk5.6 KiB2024-01-12 13:36:01
fpp-doc-0.9.5-r0.apk5.6 KiB2022-02-14 23:29:33
kompose-bash-completion-1.31.2-r5.apk5.6 KiB2024-07-04 08:22:30
hexedit-doc-1.6_git20230905-r0.apk5.6 KiB2024-04-04 14:57:48
py3-synapse-auto-accept-invite-pyc-1.2.0-r0.apk5.6 KiB2024-07-08 20:57:27
neard-doc-0.19-r0.apk5.6 KiB2023-09-19 19:49:47
py3-flask-markdown-0.3-r8.apk5.6 KiB2024-04-15 21:03:19
perl-test-memorygrowth-0.04-r0.apk5.6 KiB2024-01-24 10:08:53
py3-bottle-sqlalchemy-pyc-0.4.3-r8.apk5.6 KiB2024-04-15 21:03:18
libhwpwm-0.4.4-r0.apk5.6 KiB2023-09-28 18:50:21
perl-hash-merge-doc-0.302-r2.apk5.6 KiB2023-07-03 22:52:45
ocaml-tophide-1.0.4-r2.apk5.6 KiB2024-03-23 20:50:06
sscregistrygen-2.0.0-r0.apk5.6 KiB2024-07-07 21:29:44
perl-variable-disposition-doc-0.005-r0.apk5.7 KiB2024-01-15 20:58:17
py3-sphinxcontrib-textstyle-pyc-0.2.3-r8.apk5.7 KiB2024-04-15 21:03:26
sane-airscan-doc-0.99.29-r0.apk5.7 KiB2024-07-22 21:12:51
perl-lwp-useragent-cached-doc-0.08-r1.apk5.7 KiB2023-07-03 22:52:45
perl-aliased-0.34-r4.apk5.7 KiB2023-07-03 22:52:45
perl-algorithm-c3-0.11-r1.apk5.7 KiB2023-07-03 22:52:45
rankwidth-0.9-r3.apk5.7 KiB2023-08-01 15:19:52
harminv-doc-1.4.2-r1.apk5.7 KiB2023-10-08 17:25:48
perl-freezethaw-doc-0.5001-r2.apk5.7 KiB2023-07-03 22:52:45
logc-libs-dev-0.1.0-r0.apk5.7 KiB2023-11-18 17:32:24
perl-aliased-doc-0.34-r4.apk5.7 KiB2023-07-03 22:52:45
calibre-bash-completion-7.16.0-r0.apk5.7 KiB2024-07-31 16:58:49
py3-sphinxcontrib-bitbucket-1.0-r8.apk5.7 KiB2024-04-15 21:03:26
libhwpwm-dev-0.4.4-r0.apk5.7 KiB2023-09-28 18:50:21
git2json-pyc-0.2.3-r8.apk5.7 KiB2024-04-15 14:52:24
perl-lwp-online-doc-1.08-r0.apk5.7 KiB2024-01-12 13:36:01
py3-flake8-polyfill-pyc-1.0.2-r5.apk5.7 KiB2024-08-06 12:12:22
walk-sor-0_git20190920-r1.apk5.7 KiB2024-07-25 21:38:24
turnstile-doc-0.1.10-r1.apk5.7 KiB2024-08-10 21:46:48
materia-chromium-20210322-r1.apk5.7 KiB2022-10-28 20:30:31
autorandr-launcher-1.15-r0.apk5.7 KiB2024-03-16 12:36:22
materia-compact-chromium-20210322-r1.apk5.7 KiB2022-10-28 20:30:31
libvoikko-doc-4.3.2-r1.apk5.7 KiB2024-04-15 21:03:15
materia-dark-chromium-20210322-r1.apk5.7 KiB2022-10-28 20:30:31
py3-sphinxcontrib-cacoo-2.0.0-r7.apk5.7 KiB2024-04-15 21:03:26
termbox-dev-1.1.2-r1.apk5.7 KiB2023-05-15 16:46:26
perl-xml-rpc-2.1-r0.apk5.7 KiB2024-05-22 20:04:04
py3-spinners-0.0.24-r4.apk5.7 KiB2024-04-15 21:03:26
py3-mujson-pyc-1.4-r0.apk5.7 KiB2024-05-27 02:18:28
materia-dark-compact-chromium-20210322-r1.apk5.7 KiB2022-10-28 20:30:31
py3-notifymail-pyc-1.1-r8.apk5.7 KiB2024-08-06 12:34:05
utop-doc-2.9.1-r4.apk5.7 KiB2024-04-04 10:39:21
perl-io-sessiondata-1.03-r3.apk5.8 KiB2023-07-03 22:52:45
kind-bash-completion-0.22.0-r5.apk5.8 KiB2024-07-04 08:22:29
dfu-programmer-doc-1.1.0-r0.apk5.8 KiB2023-07-10 20:18:38
memdump-1.01-r1.apk5.8 KiB2022-10-28 15:21:05
fcitx5-bamboo-lang-1.0.6-r1.apk5.8 KiB2024-07-04 08:22:24
vector-doc-0.39.0-r0.apk5.8 KiB2024-06-29 15:43:23
py3-simplematch-pyc-1.4-r1.apk5.8 KiB2024-04-15 21:03:26
py3-flask-bcrypt-pyc-1.0.1-r5.apk5.8 KiB2024-04-15 21:03:19
gsimplecal-doc-2.5.1-r0.apk5.8 KiB2024-02-10 01:12:40
py3-slixmpp-doc-1.8.5-r2.apk5.8 KiB2024-04-15 07:15:34
perl-data-dumper-concise-2.023-r4.apk5.8 KiB2023-07-03 22:52:45
stern-bash-completion-1.30.0-r0.apk5.8 KiB2024-07-07 07:02:11
perl-data-validate-domain-0.15-r0.apk5.8 KiB2024-01-14 12:52:29
py3-jaraco.logging-pyc-3.3.0-r0.apk5.8 KiB2024-06-02 17:39:48
dex-doc-0.9.0-r1.apk5.8 KiB2023-10-19 16:10:24
emacs-emacsql-psql-3.1.1_git20240714-r0.apk5.9 KiB2024-07-29 17:02:17
perl-data-validate-ip-doc-0.31-r1.apk5.9 KiB2023-07-03 22:52:45
libimobiledevice-glue-dev-1.0.0-r1.apk5.9 KiB2023-07-29 22:01:16
py3-grequests-pyc-0.7.0-r2.apk5.9 KiB2024-08-06 12:26:31
startup-dev-2.0.3-r4.apk5.9 KiB2023-07-02 22:04:07
perl-test-class-tiny-0.03-r0.apk5.9 KiB2024-02-05 16:12:39
deadbeef-soxr-20180801-r0.apk5.9 KiB2020-12-01 19:48:22
dbus-broker-doc-35-r0.apk5.9 KiB2024-01-04 19:38:39
restart-services-doc-0.17.0-r0.apk5.9 KiB2021-12-18 22:53:46
prosody-mod-mam-0.11_hg20201208-r0.apk5.9 KiB2020-12-10 19:09:25
git-cola-doc-4.4.1-r1.apk5.9 KiB2024-04-15 21:03:10
spvm-thread-doc-0.001-r1.apk5.9 KiB2024-06-10 06:08:21
perl-dbicx-sugar-0.0200-r5.apk5.9 KiB2023-07-03 22:52:45
perl-term-size-0.211-r4.apk5.9 KiB2024-06-10 06:08:21
perl-test-utf8-1.02-r2.apk5.9 KiB2023-07-03 22:52:46
perl-ppi-xs-0.910-r1.apk5.9 KiB2024-06-10 06:08:21
py3-jaraco.versioning-1.1.0-r0.apk5.9 KiB2024-05-13 07:58:29
spvm-errno-doc-0.092-r1.apk5.9 KiB2024-06-10 06:08:21
flawz-doc-0.2.2-r0.apk5.9 KiB2024-06-22 21:05:44
py3-ticket-auth-0.1.4-r9.apk6.0 KiB2024-08-08 18:20:05
py3-flake8-debugger-pyc-4.1.2-r4.apk6.0 KiB2024-04-15 21:03:19
deutex-doc-5.2.2-r2.apk6.0 KiB2024-07-27 04:15:22
py3-pytap2-pyc-2.3.0-r0.apk6.0 KiB2024-05-08 22:38:00
lua5.2-psl-0.3-r0.apk6.0 KiB2020-02-05 10:50:37
lua5.3-psl-0.3-r0.apk6.0 KiB2020-02-05 10:50:37
f_scripts-f_phone-0.6-r0.apk6.0 KiB2024-05-29 16:24:13
py3-setuptools-lint-pyc-0.6.0-r9.apk6.0 KiB2024-04-15 21:03:26
lua5.3-apk3-3.0.0_pre2_git20240401-r1.apk6.0 KiB2024-05-19 23:22:00
hdf4-doc-4.2.15-r1.apk6.0 KiB2023-05-15 16:45:54
py3-jaraco.logging-3.3.0-r0.apk6.0 KiB2024-06-02 17:39:48
task3-fish-completion-3.1.0-r0.apk6.0 KiB2024-08-08 14:12:39
py3-iterable-io-1.0.0-r0.apk6.0 KiB2024-06-27 05:58:10
pcsc-tools-doc-1.7.1-r0.apk6.0 KiB2023-12-31 20:26:14
perl-net-patricia-doc-1.22-r12.apk6.0 KiB2024-06-10 06:08:21
perl-test-settings-doc-0.003-r0.apk6.0 KiB2024-04-16 17:38:40
spacectl-fish-completion-1.0.0-r1.apk6.1 KiB2024-07-04 08:22:38
mint-x-theme-metacity-2.1.1-r0.apk6.1 KiB2023-06-16 22:20:27
py3-class-doc-1.25-r1.apk6.1 KiB2024-04-15 21:03:18
emacs-emacsql-mysql-3.1.1_git20240714-r0.apk6.1 KiB2024-07-29 17:02:17
ovos-messagebus-pyc-0.0.3-r1.apk6.1 KiB2024-04-15 21:03:17
dislocker-doc-0.7.3-r5.apk6.1 KiB2024-04-15 07:15:09
perl-test-distribution-doc-2.00-r1.apk6.1 KiB2023-07-03 22:52:46
lua5.1-psl-0.3-r0.apk6.1 KiB2020-02-05 10:50:37
py3-rst.linker-2.6.0-r0.apk6.1 KiB2024-05-13 07:58:29
py3-jaraco.versioning-pyc-1.1.0-r0.apk6.1 KiB2024-05-13 07:58:29
py3-sphinxcontrib-textstyle-0.2.3-r8.apk6.1 KiB2024-04-15 21:03:26
perl-algorithm-cron-0.10-r4.apk6.1 KiB2023-07-03 22:52:45
py3-bottle-rest-0.6.0-r1.apk6.1 KiB2024-04-15 21:03:18
py3-rst-pyc-0.1-r9.apk6.1 KiB2024-08-08 18:20:05
curlftpfs-doc-0.9.2-r3.apk6.1 KiB2022-10-28 15:20:33
typos-doc-1.23.2-r0.apk6.1 KiB2024-07-16 21:05:48
spvm-math-doc-1.001-r1.apk6.1 KiB2024-06-10 06:08:21
shfm-doc-0.4.2-r1.apk6.1 KiB2022-10-28 15:21:40
perl-email-reply-1.204-r5.apk6.1 KiB2023-07-03 22:52:45
perl-lwp-online-1.08-r0.apk6.2 KiB2024-01-12 13:36:01
pipectl-0.4.1-r1.apk6.2 KiB2023-02-01 20:56:52
perl-cgi-expand-doc-2.05-r4.apk6.2 KiB2023-07-03 22:52:45
firewalld-zsh-completion-2.1.2-r1.apk6.2 KiB2024-08-10 21:46:32
remind-caldav-pyc-0.8.0-r3.apk6.2 KiB2024-04-15 21:03:27
leptosfmt-doc-0.1.18-r0.apk6.2 KiB2024-01-19 15:13:14
fcitx5-m17n-lang-5.1.1-r0.apk6.2 KiB2024-05-10 03:34:30
php84-sysvsem-8.4.0_alpha4-r0.apk6.2 KiB2024-08-01 12:09:14
grip-doc-4.2.4-r0.apk6.2 KiB2023-01-26 19:27:33
perl-test-toolbox-doc-0.4-r5.apk6.2 KiB2023-10-17 11:18:57
kannel-doc-1.5.0-r11.apk6.2 KiB2023-04-30 21:30:23
perl-string-random-doc-0.32-r2.apk6.2 KiB2024-01-08 09:42:59
py3-flask-qrcode-pyc-3.1.0-r4.apk6.2 KiB2024-04-15 21:03:19
php81-sysvsem-8.1.29-r0.apk6.2 KiB2024-06-06 20:04:14
perl-html-selector-xpath-0.28-r0.apk6.2 KiB2024-02-24 12:59:03
create-tauri-app-doc-4.1.0-r0.apk6.2 KiB2024-08-03 06:33:19
pfqueue-doc-0.5.6-r1.apk6.2 KiB2022-10-14 15:08:54
mlxl-0.1-r0.apk6.2 KiB2023-03-18 21:44:22
perl-throwable-1.001-r1.apk6.2 KiB2023-07-03 22:52:46
perl-check-unitcheck-0.13-r1.apk6.2 KiB2024-06-10 06:08:21
py3-flake8-debugger-4.1.2-r4.apk6.2 KiB2024-04-15 21:03:19
schismtracker-doc-20231029-r0.apk6.2 KiB2023-11-19 13:18:16
py3-microdata-0.8.0-r0.apk6.2 KiB2024-04-28 19:56:39
ruby-yaml-0.3.0-r0.apk6.3 KiB2024-07-13 21:24:25
py3-flask-dbconfig-pyc-0.3.12-r8.apk6.3 KiB2024-04-15 21:03:19
libdng-utils-0.1.1-r0.apk6.3 KiB2024-01-21 22:37:00
plfit-dev-0.9.4-r2.apk6.3 KiB2023-08-01 15:19:51
py3-dweepy-pyc-0.3.0-r7.apk6.3 KiB2024-04-15 21:03:18
php81-gettext-8.1.29-r0.apk6.3 KiB2024-06-06 20:04:14
py3-spinners-pyc-0.0.24-r4.apk6.3 KiB2024-04-15 21:03:26
grommunio-common-1.0-r2.apk6.3 KiB2024-05-30 23:04:20
kgraphviewer-dev-2.5.0-r0.apk6.3 KiB2024-05-08 17:44:11
ocp-index-emacs-1.3.6-r0.apk6.3 KiB2024-03-23 20:50:18
py3-pbkdf2-1.3-r7.apk6.3 KiB2024-08-06 12:26:03
godap-doc-2.7.3-r0.apk6.3 KiB2024-07-31 21:42:35
asteroid-languages-0_git20230112-r0.apk6.3 KiB2023-05-13 20:19:41
perl-http-xsheaders-doc-0.400005-r1.apk6.3 KiB2024-06-10 06:08:21
asahi-scripts-20230821-r1.apk6.3 KiB2024-01-05 04:55:00
perl-devel-refcount-0.10-r1.apk6.3 KiB2024-06-10 06:08:21
py3-click-threading-0.5.0-r5.apk6.3 KiB2024-08-08 18:20:05
libantic-dev-0.2.5-r0.apk6.3 KiB2022-11-02 02:36:23
openfortivpn-doc-1.21.0-r0.apk6.4 KiB2024-02-09 01:01:25
perl-indirect-doc-0.39-r1.apk6.4 KiB2024-06-10 06:08:21
perl-crypt-saltedhash-doc-0.09-r5.apk6.4 KiB2023-07-03 22:52:45
py3-lsp-black-pyc-2.0.0-r1.apk6.4 KiB2024-04-15 21:03:24
py3-python-archive-0.2-r6.apk6.4 KiB2024-04-15 21:03:25
py3-ticket-auth-pyc-0.1.4-r9.apk6.4 KiB2024-08-08 18:20:05
pantalaimon-doc-0.10.5-r4.apk6.4 KiB2024-04-15 14:59:50
boxed-cpp-dev-1.4.2-r0.apk6.4 KiB2024-07-17 16:45:50
perl-lwp-useragent-cached-0.08-r1.apk6.4 KiB2023-07-03 22:52:45
bgpq4-doc-1.12-r0.apk6.4 KiB2024-02-13 22:39:01
py3-x-wr-timezone-pyc-0.0.7-r1.apk6.4 KiB2024-04-15 21:03:27
iipsrv-doc-1.2-r0.apk6.4 KiB2023-10-05 05:24:47
trafficserver9-plugin-remappurge-9.2.4-r0.apk6.4 KiB2024-04-05 13:43:12
codeberg-cli-zsh-completion-0.4.3-r0.apk6.4 KiB2024-07-29 10:19:25
libbamf-dev-0.5.6-r1.apk6.4 KiB2023-10-20 07:13:23
wlopm-0.1.0-r0.apk6.4 KiB2022-06-28 15:08:39
rss-email-doc-0.5.0-r0.apk6.4 KiB2024-04-26 13:58:53
perl-net-async-xmpp-0.003-r0.apk6.5 KiB2024-01-16 19:43:26
rustic-bash-completion-0.6.1-r1.apk6.5 KiB2024-01-08 09:43:00
clinfo-doc-3.0.23.01.25-r0.apk6.5 KiB2023-02-10 10:38:56
fox-utils-1.6.57-r0.apk6.5 KiB2022-08-08 10:58:15
repgrep-doc-0.15.0-r0.apk6.5 KiB2024-01-04 19:38:39
remind-caldav-0.8.0-r3.apk6.5 KiB2024-04-15 21:03:27
lsix-1.8.2-r0.apk6.5 KiB2023-12-23 12:59:02
ovpncc-doc-0.1_rc1-r0.apk6.5 KiB2023-03-16 01:57:57
lol-html-dev-1.1.1-r1.apk6.5 KiB2024-07-02 12:40:18
py3-microdata-pyc-0.8.0-r0.apk6.5 KiB2024-04-28 19:56:39
ocaml-mmap-dev-1.2.0-r3.apk6.5 KiB2024-03-23 20:49:45
imediff-doc-2.6-r1.apk6.5 KiB2024-04-15 21:03:12
upterm-doc-0.14.3-r0.apk6.5 KiB2024-07-07 05:35:23
perl-tickit-widget-scrollbox-doc-0.12-r0.apk6.5 KiB2024-01-14 12:53:33
cpiped-0.1.0-r0.apk6.6 KiB2017-08-28 22:27:06
kubeone-bash-completion-1.8.1-r0.apk6.6 KiB2024-07-07 05:46:40
cutechess-cli-doc-1.3.1-r0.apk6.6 KiB2023-09-24 18:36:50
py3-pymsteams-pyc-0.2.3-r1.apk6.6 KiB2024-08-07 12:45:22
perl-minion-backend-redis-doc-0.003-r0.apk6.6 KiB2024-02-10 01:02:00
xcape-1.2-r0.apk6.6 KiB2021-11-29 21:04:33
watchbind-doc-0.2.1-r0.apk6.6 KiB2024-01-22 11:30:04
dcnnt-doc-0.10.0-r1.apk6.6 KiB2024-04-15 21:03:10
py3-requests-wsgi-adapter-pyc-0.4.1-r1.apk6.6 KiB2024-04-15 21:03:25
icingaweb2-module-generictts-2.1.0-r0.apk6.6 KiB2023-01-12 16:03:37
py3-sphinxcontrib-git-pyc-11.0.0-r7.apk6.6 KiB2024-04-15 21:03:26
php81-shmop-8.1.29-r0.apk6.6 KiB2024-06-06 20:04:14
emacs-persist-0.6_git20240114-r0.apk6.6 KiB2024-04-02 09:39:10
py3-tailer-pyc-0.4.1-r7.apk6.6 KiB2024-04-15 21:03:26
tui-journal-doc-0.8.0-r0.apk6.6 KiB2024-02-10 04:41:37
apk-snap-3.1.1-r0.apk6.6 KiB2024-01-22 15:55:13
py3-rst.linker-pyc-2.6.0-r0.apk6.6 KiB2024-05-13 07:58:29
perl-text-table-any-doc-0.117-r0.apk6.7 KiB2024-02-25 17:26:31
perl-devel-confess-doc-0.009004-r0.apk6.7 KiB2024-02-24 12:59:03
xload-1.1.4-r0.apk6.7 KiB2022-05-12 09:39:27
debconf-utils-1.5.82-r0.apk6.7 KiB2023-03-16 14:38:28
perl-cairo-gobject-1.005-r4.apk6.7 KiB2024-06-10 06:08:21
perl-storable-improved-0.1.3-r0.apk6.7 KiB2024-02-24 12:59:04
extremetuxracer-doc-0.8.3-r0.apk6.7 KiB2023-07-25 13:03:45
py3-flake8-print-5.0.0-r5.apk6.7 KiB2024-04-15 21:03:19
rattler-build-doc-0.18.0-r0.apk6.7 KiB2024-06-19 21:03:31
py3-sphinxcontrib-gravatar-0.1.2-r7.apk6.7 KiB2024-04-15 21:03:26
perl-test-files-0.26-r0.apk6.7 KiB2024-03-09 06:50:11
gmsh-py-4.12.2-r2.apk6.7 KiB2024-06-18 14:37:17
alarmwakeup-0.2.1-r0.apk6.7 KiB2023-10-06 05:49:06
zarchive-dev-0.1.2-r2.apk6.8 KiB2023-08-07 20:56:34
py3-ovos-stt-plugin-server-pyc-0.0.4_alpha4-r1.apk6.8 KiB2024-04-15 21:03:25
serialdv-1.1.4-r0.apk6.8 KiB2023-02-22 12:07:26
kompose-zsh-completion-1.31.2-r5.apk6.8 KiB2024-07-04 08:22:30
ustream-ssl-20220116-r1.apk6.8 KiB2022-08-04 21:46:06
perl-minion-backend-sqlite-doc-5.0.7-r0.apk6.8 KiB2024-02-10 01:02:00
perl-test-file-doc-1.993-r1.apk6.8 KiB2023-07-03 22:52:46
isoinfo-0_git20131217-r1.apk6.8 KiB2022-10-28 15:20:43
apk-autoupdate-doc-0_git20210421-r0.apk6.8 KiB2022-01-15 22:17:04
pwauth-doc-2.3.11-r2.apk6.8 KiB2022-10-28 15:21:38
php84-pecl-uuid-1.2.0-r0.apk6.8 KiB2024-07-05 17:42:19
php81-pecl-uuid-1.2.0-r0.apk6.8 KiB2024-04-11 00:39:23
game-devices-udev-0.22-r2.apk6.8 KiB2023-10-31 11:12:32
perl-archive-extract-doc-0.88-r1.apk6.8 KiB2023-07-03 22:52:45
qoi-dev-0.0.0_git20230312-r0.apk6.8 KiB2023-03-17 07:30:17
termcolor-dev-2.1.0-r0.apk6.8 KiB2022-10-28 20:14:36
json2tsv-1.2-r0.apk6.8 KiB2024-08-05 20:12:50
py3-grequests-0.7.0-r2.apk6.8 KiB2024-08-06 12:26:31
qtmir-dev-0.7.2-r1.apk6.9 KiB2024-06-22 10:57:53
prosody-mod-muc_cloud_notify-0.11_hg20201208-r0.apk6.9 KiB2020-12-10 19:09:25
perl-hash-merge-0.302-r2.apk6.9 KiB2023-07-03 22:52:45
py3-playsound-1.3.0-r1.apk6.9 KiB2024-04-15 21:03:25
py3-tailer-0.4.1-r7.apk6.9 KiB2024-04-15 21:03:26
pixi-doc-0.24.2-r0.apk6.9 KiB2024-06-16 21:09:03
perl-x-tiny-0.22-r0.apk6.9 KiB2024-04-16 17:38:40
perl-cgi-expand-2.05-r4.apk6.9 KiB2023-07-03 22:52:45
perl-time-timegm-0.01-r9.apk6.9 KiB2024-06-10 06:08:21
boxes-doc-2.2.1-r0.apk6.9 KiB2023-09-06 13:12:01
openslide-dev-3.4.1-r3.apk6.9 KiB2023-08-01 15:19:36
py3-banal-1.0.6-r4.apk6.9 KiB2024-08-06 12:25:33
halp-doc-0.2.0-r0.apk6.9 KiB2024-06-20 21:08:23
php81-pecl-uploadprogress-2.0.2-r1.apk6.9 KiB2024-04-11 00:39:23
php84-pecl-uploadprogress-2.0.2-r1.apk6.9 KiB2024-07-04 13:36:05
java-asmtools-doc-8.0.09-r0.apk6.9 KiB2023-11-06 17:36:55
n30f-2.0-r3.apk6.9 KiB2022-10-28 15:21:06
perl-storable-improved-doc-0.1.3-r0.apk6.9 KiB2024-02-24 12:59:04
perl-tickit-widget-menu-doc-0.16-r0.apk6.9 KiB2024-01-14 12:53:33
cyrus-sasl-xoauth2-0.2-r1.apk6.9 KiB2023-05-15 16:45:25
dublin-traceroute-dev-0.4.2-r3.apk6.9 KiB2023-09-16 21:20:41
cyrus-sasl-xoauth2-static-0.2-r1.apk6.9 KiB2023-05-15 16:45:25
ovos-phal-pyc-0.0.5_alpha6-r1.apk6.9 KiB2024-04-15 21:03:17
py3-jaraco.stream-3.0.3-r0.apk6.9 KiB2024-06-02 17:39:48
fcitx5-hangul-lang-5.1.4-r0.apk6.9 KiB2024-06-26 04:20:17
perl-constant-defer-doc-6-r5.apk6.9 KiB2023-07-03 22:52:45
perl-crypt-saltedhash-0.09-r5.apk7.0 KiB2023-07-03 22:52:45
hare-message-0_git20231204-r0.apk7.0 KiB2024-05-07 23:02:20
py3-pytap2-2.3.0-r0.apk7.0 KiB2024-05-08 22:38:00
nkk-doc-0_git20221010-r0.apk7.0 KiB2023-02-23 19:39:40
py3-flask-themer-pyc-2.0.0-r2.apk7.0 KiB2024-08-08 18:20:05
py3-pip-system-certs-4.0-r1.apk7.0 KiB2024-04-15 21:03:25
py3-pytest-expect-pyc-1.1.0-r9.apk7.0 KiB2024-04-17 02:54:45
php84-shmop-8.4.0_alpha4-r0.apk7.0 KiB2024-08-01 12:09:14
oil-doc-0.21.0-r0.apk7.0 KiB2024-03-15 23:09:36
py3-ovos-ww-plugin-vosk-0.1.1-r1.apk7.0 KiB2024-04-19 13:10:22
py3-flake8-builtins-pyc-2.1.0-r3.apk7.0 KiB2024-04-15 21:03:19
zsh-fast-syntax-highlighting-doc-1.55_git20230705-r0.apk7.0 KiB2023-12-17 12:10:52
libmysofa-dev-1.3.2-r0.apk7.0 KiB2023-11-06 17:37:29
tmpmail-1.2.3-r2.apk7.0 KiB2023-11-06 17:38:04
perl-io-async-resolver-dns-0.06-r0.apk7.0 KiB2023-12-30 11:58:34
mm-1.4.2-r1.apk7.0 KiB2017-03-20 16:29:25
perl-constant-generate-doc-0.17-r5.apk7.0 KiB2023-07-03 22:52:45
php84-gettext-8.4.0_alpha4-r0.apk7.1 KiB2024-08-01 12:09:14
py3-ntplib-0.4.0-r4.apk7.1 KiB2024-04-15 21:03:24
perl-uri-fetch-0.15-r0.apk7.1 KiB2024-01-14 15:55:33
py3-flake8-polyfill-1.0.2-r5.apk7.1 KiB2024-08-06 12:12:22
py3-pbkdf2-pyc-1.3-r7.apk7.1 KiB2024-08-06 12:26:03
adjtimex-doc-1.29-r0.apk7.1 KiB2021-12-31 21:26:52
dnsfunnel-doc-0.0.1.6-r0.apk7.1 KiB2023-11-06 21:49:30
perl-object-event-doc-1.23-r0.apk7.1 KiB2024-01-03 19:16:40
enlighten-0.9.2-r1.apk7.1 KiB2022-10-28 15:20:35
moon-buggy-doc-1.0.51-r1.apk7.1 KiB2022-10-28 15:21:06
perl-test-expander-2.5.0-r0.apk7.1 KiB2024-03-09 06:50:11
py3-flask-bcrypt-1.0.1-r5.apk7.1 KiB2024-04-15 21:03:19
pmccabe-doc-2.8-r1.apk7.1 KiB2022-10-28 15:21:38
libdatrie-0.2.13-r0.apk7.1 KiB2022-01-27 00:31:02
perl-graphql-client-0.605-r0.apk7.1 KiB2024-01-18 23:46:11
pixi-bash-completion-0.24.2-r0.apk7.2 KiB2024-06-16 21:09:03
dsp-doc-1.9-r2.apk7.2 KiB2024-06-17 06:21:08
lutgen-bash-completion-0.10.1-r0.apk7.2 KiB2024-06-01 13:18:01
php84-sysvshm-8.4.0_alpha4-r0.apk7.2 KiB2024-08-01 12:09:14
visidata-zsh-completion-2.11.1-r2.apk7.2 KiB2024-04-15 21:03:33
pptpclient-doc-1.10.0-r5.apk7.2 KiB2024-06-10 06:08:21
perl-tickit-widget-menu-0.16-r0.apk7.2 KiB2024-01-14 12:53:33
oh-my-zsh-doc-0_git20220104-r1.apk7.2 KiB2023-02-10 00:13:05
perl-string-crc32-2.100-r4.apk7.2 KiB2024-06-10 06:08:21
ecm-doc-7.0.5-r1.apk7.2 KiB2023-05-15 16:45:29
py3-shodan-doc-1.31.0-r1.apk7.2 KiB2024-04-15 21:03:26
mint-x-icons-doc-1.6.5-r1.apk7.2 KiB2023-10-31 11:12:40
perl-devel-leak-0.03-r13.apk7.2 KiB2024-06-10 06:08:21
py3-banal-pyc-1.0.6-r4.apk7.2 KiB2024-08-06 12:25:33
prosody-mod-cloud_notify-0.11_hg20201208-r0.apk7.2 KiB2020-12-10 19:09:25
php81-sysvshm-8.1.29-r0.apk7.2 KiB2024-06-06 20:04:14
litterbox-doc-1.9-r1.apk7.2 KiB2023-04-08 02:34:00
bkt-doc-0.8.0-r0.apk7.3 KiB2024-07-05 05:43:56
perl-log-fu-doc-0.31-r4.apk7.3 KiB2023-07-03 22:52:45
advancescan-doc-1.18-r1.apk7.3 KiB2022-10-28 15:20:27
py3-async-lru-2.0.4-r1.apk7.3 KiB2024-04-15 21:03:17
perl-color-ansi-util-0.165-r0.apk7.3 KiB2024-04-16 11:30:54
perl-gtk2-ex-listmodelconcat-doc-11-r4.apk7.3 KiB2023-07-03 22:52:45
amiitool-2-r2.apk7.3 KiB2024-04-15 07:15:08
keystone-dev-0.9.2-r6.apk7.3 KiB2024-04-15 21:03:12
pinephone-call-audio-0.1-r0.apk7.3 KiB2021-10-06 18:31:35
py3-colorthief-0.2.1-r1.apk7.3 KiB2024-04-15 21:03:18
msgpuck-doc-2.0-r1.apk7.3 KiB2020-02-22 17:27:54
saait-0.8-r0.apk7.3 KiB2024-06-27 19:12:23
jbigkit-doc-2.1-r2.apk7.3 KiB2022-10-28 15:20:43
py3-sphinxcontrib-sqltable-2.0.0-r8.apk7.3 KiB2024-04-15 21:03:26
perl-openapi-client-doc-1.07-r0.apk7.4 KiB2024-01-14 12:52:29
lizardfs-cgiserv-3.13.0-r13.apk7.4 KiB2024-04-22 17:58:05
py3-proglog-0.1.10-r2.apk7.4 KiB2024-04-15 21:03:25
perl-color-rgb-util-doc-0.607-r0.apk7.4 KiB2024-04-16 11:30:54
bananui-clock-0.1.0-r0.apk7.4 KiB2023-10-06 05:49:06
trafficserver9-plugin-healthchecks-9.2.4-r0.apk7.4 KiB2024-04-05 13:43:12
perl-constant-defer-6-r5.apk7.4 KiB2023-07-03 22:52:45
perl-session-storage-secure-doc-1.000-r2.apk7.4 KiB2023-07-03 22:52:46
rsstail-2.1-r1.apk7.4 KiB2022-10-28 15:21:40
cliquer-dev-1.22-r2.apk7.4 KiB2023-08-01 15:19:02
libfyaml-doc-0.9-r0.apk7.4 KiB2023-12-21 22:36:44
git2json-0.2.3-r8.apk7.4 KiB2024-04-15 14:52:24
trafficserver9-plugin-tcpinfo-9.2.4-r0.apk7.4 KiB2024-04-05 13:43:12
py3-more-properties-1.1.1-r3.apk7.4 KiB2024-04-15 21:03:24
gst-plugins-rs-dev-0.13.0-r0.apk7.4 KiB2024-07-24 04:26:20
tree-sitter-git-rebase-0_git20220110-r2.apk7.5 KiB2023-11-15 21:53:38
pass2csv-pyc-1.1.1-r1.apk7.5 KiB2024-04-15 21:03:17
perl-class-accessor-grouped-doc-0.10014-r2.apk7.5 KiB2023-07-03 22:52:45
py3-sstash-0.17-r8.apk7.5 KiB2024-04-15 21:03:26
py3-columnize-pyc-0.3.11-r4.apk7.5 KiB2024-08-06 12:35:38
cscope-doc-15.9-r1.apk7.5 KiB2022-10-14 15:08:44
cliquer-1.22-r2.apk7.5 KiB2023-08-01 15:19:02
py3-pickle-secure-0.99.9-r1.apk7.5 KiB2024-04-15 07:15:34
py3-simplespectral-1.0.0-r5.apk7.5 KiB2024-08-07 13:31:22
py3-lsp-black-2.0.0-r1.apk7.5 KiB2024-04-15 21:03:24
perl-ryu-async-0.020-r0.apk7.5 KiB2024-01-15 20:58:17
ffms2-dev-2.40-r0.apk7.5 KiB2023-12-30 13:46:05
aqemu-doc-0.9.4-r3.apk7.5 KiB2023-04-08 10:52:52
wakeonlan-doc-0.42-r0.apk7.5 KiB2024-06-26 08:04:58
perl-uri-fetch-doc-0.15-r0.apk7.5 KiB2024-01-14 15:55:33
perl-string-compare-constanttime-0.321-r6.apk7.5 KiB2024-06-10 06:08:21
lutgen-fish-completion-0.10.1-r0.apk7.5 KiB2024-06-01 13:18:01
perl-dbix-datasource-doc-0.02-r5.apk7.5 KiB2023-07-03 22:52:45
perl-file-rename-2.02-r0.apk7.5 KiB2024-01-01 12:22:48
py3-sphinxcontrib-blockdiag-3.0.0-r4.apk7.5 KiB2024-04-15 21:03:26
py3-eradicate-2.3.0-r1.apk7.5 KiB2024-04-15 21:03:18
py3-litex-hub-pythondata-cpu-naxriscv-2024.04-r0.apk7.6 KiB2024-07-05 20:32:58
py3-wg-netns-2.3.1-r1.apk7.6 KiB2024-04-15 21:03:26
dvdbackup-doc-0.4.2-r1.apk7.6 KiB2022-10-14 15:08:44
py3-notifymail-1.1-r8.apk7.6 KiB2024-08-06 12:34:05
perl-net-jabber-bot-doc-2.1.7-r0.apk7.6 KiB2024-01-12 13:36:01
perl-x-tiny-doc-0.22-r0.apk7.6 KiB2024-04-16 17:38:40
gamemode-doc-0_git20240327-r0.apk7.6 KiB2024-06-04 11:51:24
trafficserver9-plugin-escalate-9.2.4-r0.apk7.6 KiB2024-04-05 13:43:12
perl-datetime-timezone-alias-doc-0.06-r0.apk7.6 KiB2024-02-24 12:59:03
libcotp-2.0.2-r0.apk7.6 KiB2023-10-28 09:40:48
perl-net-libresolv-0.03-r1.apk7.6 KiB2024-06-10 06:08:21
py3-ovos-phal-plugin-oauth-0.0.2-r1.apk7.6 KiB2024-04-15 21:03:25
py3-sphinxcontrib-actdiag-3.0.0-r4.apk7.6 KiB2024-08-06 12:17:21
opkg-doc-0.6.2-r0.apk7.6 KiB2023-11-27 21:03:40
py3-sphinxcontrib-gravatar-pyc-0.1.2-r7.apk7.6 KiB2024-04-15 21:03:26
py3-openapi-codec-1.3.2-r9.apk7.6 KiB2024-04-15 21:03:25
py3-createrepo_c-pyc-1.0.2-r1.apk7.6 KiB2024-04-15 21:03:18
geomyidae-doc-0.34-r2.apk7.6 KiB2022-10-28 15:20:41
py3-sphinxcontrib-seqdiag-3.0.0-r5.apk7.6 KiB2024-04-15 21:03:26
perl-email-abstract-3.010-r0.apk7.6 KiB2023-09-03 11:01:37
py3-jaraco.path-3.7.0-r0.apk7.7 KiB2024-05-13 07:58:29
perl-sentinel-0.07-r1.apk7.7 KiB2024-06-10 06:08:21
libbloom-2.0-r0.apk7.7 KiB2023-06-16 22:20:02
php84-pecl-lzf-1.7.0-r0.apk7.7 KiB2024-07-05 00:11:04
arc-xfwm-20221218-r0.apk7.7 KiB2023-01-07 13:34:26
php81-pecl-lzf-1.7.0-r0.apk7.7 KiB2024-04-11 00:39:23
harminv-1.4.2-r1.apk7.7 KiB2023-10-08 17:25:48
p910nd-0.97-r2.apk7.7 KiB2022-06-04 12:38:42
yarr-doc-2.4-r8.apk7.7 KiB2024-07-04 08:22:43
postgresql-pg_partman-scripts-5.0.0-r0.apk7.7 KiB2023-12-17 22:58:19
runst-doc-0.1.7-r0.apk7.7 KiB2024-03-27 01:09:25
ydcv-0.7-r7.apk7.7 KiB2024-04-15 21:03:33
arc-lighter-xfwm-20221218-r0.apk7.7 KiB2023-01-07 13:34:26
ocaml-mirage-random-3.0.0-r3.apk7.7 KiB2024-03-23 20:49:45
mat2-doc-0.13.4-r3.apk7.7 KiB2024-08-08 18:20:05
kmscon-doc-9.0.0-r0.apk7.7 KiB2022-10-05 00:06:46
perl-test-distribution-2.00-r1.apk7.8 KiB2023-07-03 22:52:46
perl-syntax-keyword-match-doc-0.15-r0.apk7.8 KiB2024-07-07 12:08:31
gmic-dev-3.3.5-r0.apk7.8 KiB2024-04-26 13:52:27
walk-sor-doc-0_git20190920-r1.apk7.8 KiB2024-07-25 21:38:24
py3-bottle-session-pyc-1.0-r6.apk7.8 KiB2024-04-15 21:03:18
nvim-cmp-buffer-0.0.0_git20220810-r1.apk7.8 KiB2024-06-18 14:37:20
perl-math-random-isaac-xs-1.004-r8.apk7.8 KiB2024-06-10 06:08:21
moosefs-cgiserv-3.0.117-r1.apk7.8 KiB2023-06-17 21:06:38
ocaml-mmap-1.2.0-r3.apk7.8 KiB2024-03-23 20:49:45
py3-quebra-frases-pyc-0.3.7-r1.apk7.8 KiB2024-04-15 21:03:25
perl-graphql-client-cli-0.605-r0.apk7.8 KiB2024-01-18 23:46:11
cargo-update-doc-13.4.0-r0.apk7.8 KiB2024-05-04 13:41:58
hexer-dev-1.4.0-r15.apk7.8 KiB2024-05-18 23:28:28
perl-bsd-resource-doc-1.2911-r10.apk7.9 KiB2024-06-10 06:08:21
py3-click-threading-pyc-0.5.0-r5.apk7.9 KiB2024-08-08 18:20:05
py3-simplesoapy-1.5.1-r7.apk7.9 KiB2024-08-06 12:12:43
arc-darker-xfwm-20221218-r0.apk7.9 KiB2023-01-07 13:34:26
arc-dark-xfwm-20221218-r0.apk7.9 KiB2023-01-07 13:34:26
libnfc-dev-1.8.0-r1.apk7.9 KiB2023-05-15 16:46:12
queercat-1.0.0-r0.apk7.9 KiB2023-08-16 10:29:26
py3-flask-themer-2.0.0-r2.apk7.9 KiB2024-08-08 18:20:05
py3-pytest-metadata-pyc-3.1.1-r0.apk7.9 KiB2024-04-15 23:46:52
jhead-doc-3.08-r0.apk7.9 KiB2023-07-23 14:03:45
stw-0.3-r0.apk7.9 KiB2023-03-06 14:44:14
perl-string-random-0.32-r2.apk7.9 KiB2024-01-08 09:42:59
fulcrum-admin-1.9.8-r1.apk7.9 KiB2024-06-27 21:59:26
care-doc-2.3.0-r0.apk7.9 KiB2024-02-10 20:01:26
dex-0.9.0-r1.apk7.9 KiB2023-10-19 16:10:24
py3-flask-loopback-pyc-1.4.7-r7.apk7.9 KiB2024-04-15 21:03:19
py3-sphinxcontrib-lassodomain-0.4-r8.apk7.9 KiB2024-04-15 21:03:26
apache2-mod-authnz-external-3.3.3-r0.apk7.9 KiB2020-02-26 20:28:40
py3-clickclick-20.10.2-r4.apk7.9 KiB2024-08-06 12:24:26
libgrapheme-doc-1-r0.apk8.0 KiB2022-01-28 23:10:12
limnoria-doc-20220927-r3.apk8.0 KiB2024-04-15 21:03:15
bchunk-1.2.2-r2.apk8.0 KiB2022-10-28 15:20:31
py3-flask-httpauth-4.8.0-r2.apk8.0 KiB2024-04-15 21:03:19
perl-netaddr-mac-doc-0.98-r1.apk8.0 KiB2023-07-03 22:52:45
boinc-doc-7.24.3-r0.apk8.0 KiB2024-02-22 01:03:11
sigrok-cli-doc-0.7.2-r0.apk8.0 KiB2022-09-19 10:28:26
py3-pygelbooru-0.5.0-r3.apk8.0 KiB2024-07-09 11:59:07
py3-simplematch-1.4-r1.apk8.0 KiB2024-04-15 21:03:26
perl-tickit-widget-scrollbox-0.12-r0.apk8.0 KiB2024-01-14 12:53:33
perl-throwable-doc-1.001-r1.apk8.0 KiB2023-07-03 22:52:46
py3-zope-i18nmessageid-pyc-6.1.0-r1.apk8.0 KiB2024-04-15 21:03:27
ocaml-result-dev-1.5-r2.apk8.0 KiB2024-03-23 20:50:03
nfoview-doc-2.0.1-r0.apk8.0 KiB2024-05-19 14:05:16
buf-bash-completion-1.34.0-r0.apk8.0 KiB2024-07-09 14:11:56
libb64-doc-2.0.0.1-r0.apk8.0 KiB2024-06-16 11:26:16
ocaml-omake-doc-0.10.6-r0.apk8.0 KiB2024-03-23 20:49:48
vmtouch-doc-1.3.1-r0.apk8.0 KiB2023-03-28 12:18:20
perl-dbix-introspector-0.001005-r4.apk8.1 KiB2023-07-03 22:52:45
libretro-gong-0_git20220319-r0.apk8.1 KiB2022-04-21 10:02:37
lomiri-libusermetrics-dev-1.3.2-r1.apk8.1 KiB2024-06-22 10:57:53
perl-list-binarysearch-xs-doc-0.09-r1.apk8.1 KiB2024-06-10 06:08:21
perl-text-table-any-0.117-r0.apk8.1 KiB2024-02-25 17:26:31
py3-simplespectral-pyc-1.0.0-r5.apk8.1 KiB2024-08-07 13:31:22
py3-ovos-ocp-m3u-plugin-0.0.2_alpha2-r0.apk8.1 KiB2024-05-31 06:16:30
libcorkipset-dev-1.1.1-r4.apk8.1 KiB2023-10-30 10:37:02
php84-sysvmsg-8.4.0_alpha4-r0.apk8.1 KiB2024-08-01 12:09:14
py3-ly-doc-0.9.8-r1.apk8.1 KiB2024-04-15 21:03:24
ttfautohint-doc-1.8.4-r0.apk8.1 KiB2024-05-06 10:51:24
exabgp-doc-4.2.21-r5.apk8.1 KiB2024-08-07 12:30:59
libjodycode-3.1.1-r0.apk8.1 KiB2024-07-27 06:33:35
cdba-1.0-r1.apk8.1 KiB2024-06-25 11:14:09
perl-adapter-async-0.019-r0.apk8.1 KiB2024-01-17 12:12:03
meson-tools-0.1-r1.apk8.1 KiB2022-08-04 08:48:12
trafficserver9-plugin-confremap-9.2.4-r0.apk8.1 KiB2024-04-05 13:43:12
py3-ovos-phal-plugin-oauth-pyc-0.0.2-r1.apk8.1 KiB2024-04-15 21:03:25
hurl-doc-4.3.0-r0.apk8.1 KiB2024-05-04 13:41:59
libmhash-doc-0.9.9.9-r3.apk8.1 KiB2022-10-14 15:08:53
py3-janus-1.0.0-r3.apk8.2 KiB2024-04-15 14:59:50
rtl-power-fftw-doc-20200601-r4.apk8.2 KiB2024-04-24 20:49:42
php81-sysvmsg-8.1.29-r0.apk8.2 KiB2024-06-06 20:04:14
lgogdownloader-doc-3.12-r2.apk8.2 KiB2024-04-22 17:58:03
libzn_poly-dev-0.9.2-r2.apk8.2 KiB2023-08-01 15:19:35
wol-lang-0.7.1-r2.apk8.2 KiB2023-08-17 17:12:44
ocaml-mirage-time-dev-3.0.0-r4.apk8.2 KiB2024-03-23 20:49:45
py3-jaraco.stream-pyc-3.0.3-r0.apk8.2 KiB2024-06-02 17:39:48
py3-flask-paginate-0.8.1-r6.apk8.2 KiB2024-04-15 21:03:19
py3-ovos-audio-plugin-simple-pyc-0.0.1-r0.apk8.2 KiB2024-05-31 06:16:30
py3-more-properties-pyc-1.1.1-r3.apk8.2 KiB2024-04-15 21:03:24
py3-mujson-1.4-r0.apk8.2 KiB2024-05-27 02:18:28
bootinfo-pyc-0.1.0-r4.apk8.2 KiB2024-08-04 21:13:17
wput-doc-0.6.2-r4.apk8.2 KiB2022-10-14 15:08:59
py3-openssh-wrapper-0.5_git20130425-r4.apk8.2 KiB2024-04-15 21:03:25
hiprompt-gtk-py-0.8.0-r0.apk8.3 KiB2024-07-23 19:46:59
perl-daemon-control-doc-0.001010-r2.apk8.3 KiB2023-07-03 22:52:45
disfetch-3.7-r0.apk8.3 KiB2023-06-16 22:18:50
flowd-dev-0.9.1-r10.apk8.3 KiB2024-06-10 06:08:21
qrtr-libs-1.0_git20230118-r0.apk8.3 KiB2024-06-26 03:46:51
agrep-0.8.0-r2.apk8.3 KiB2023-05-15 16:45:19
py3-ovos-translate-server-plugin-0.0.0-r0.apk8.3 KiB2024-05-31 06:16:30
dinit-alpine-0_git20240128-r1.apk8.3 KiB2024-05-31 20:53:23
py3-publicsuffix2-pyc-2.20191221-r5.apk8.3 KiB2024-04-15 14:26:21
py3-junit-xml-1.9-r3.apk8.3 KiB2024-08-05 23:33:36
py3-ovos-ocp-rss-plugin-0.0.2-r1.apk8.3 KiB2024-04-15 21:03:25
perl-test-lwp-useragent-doc-0.036-r0.apk8.4 KiB2024-01-14 15:55:33
pass2csv-1.1.1-r1.apk8.4 KiB2024-04-15 21:03:17
tinycbor-dev-0.6.0-r1.apk8.4 KiB2022-11-18 01:56:59
meson-tools-doc-0.1-r1.apk8.4 KiB2022-08-04 08:48:12
perl-dbix-class-candy-0.005003-r5.apk8.4 KiB2023-07-03 22:52:45
cri-o-fish-completion-1.30.3-r0.apk8.4 KiB2024-07-07 05:41:40
py3-eradicate-pyc-2.3.0-r1.apk8.4 KiB2024-04-15 21:03:18
tmux-resurrect-doc-4.0.0-r0.apk8.4 KiB2022-09-21 08:37:02
perl-test-timer-doc-2.12-r2.apk8.4 KiB2024-01-04 01:10:58
btpd-doc-0.16-r2.apk8.4 KiB2022-08-04 08:46:38
py3-dotty-dict-1.3.1-r4.apk8.4 KiB2024-08-05 23:33:36
perl-data-dumper-concise-doc-2.023-r4.apk8.4 KiB2023-07-03 22:52:45
py3-landlock-1.0.0_pre4-r2.apk8.4 KiB2024-04-15 21:03:19
py3-sphinx-theme-quark-pyc-0.6.0-r2.apk8.4 KiB2023-04-25 14:57:10
gutenprint-doc-5.3.4-r4.apk8.4 KiB2024-07-23 19:22:35
py3-python-logstash-pyc-0.4.8-r4.apk8.4 KiB2024-08-06 12:12:56
mepo-doc-1.2.1-r1.apk8.4 KiB2024-06-08 20:56:17
py3-intervals-0.9.2-r4.apk8.4 KiB2024-04-15 21:03:19
perl-uri-db-doc-0.22-r0.apk8.4 KiB2024-04-05 13:00:30
perl-dbix-introspector-doc-0.001005-r4.apk8.5 KiB2023-07-03 22:52:45
perl-dancer-plugin-passphrase-doc-2.0.1-r4.apk8.5 KiB2023-07-03 22:52:45
sshuttle-doc-1.1.2-r0.apk8.5 KiB2024-06-11 08:20:10
libirecovery-progs-1.1.0-r0.apk8.5 KiB2023-05-27 11:04:32
lutgen-zsh-completion-0.10.1-r0.apk8.5 KiB2024-06-01 13:18:01
py3-cucumber-tag-expressions-6.0.0-r1.apk8.5 KiB2024-04-15 21:03:18
foma-dev-0.10.0_git20221230-r0.apk8.5 KiB2023-06-16 22:19:21
perl-term-ui-doc-0.50-r1.apk8.5 KiB2023-07-03 22:52:46
logc-0.5.0-r0.apk8.5 KiB2023-11-18 17:32:24
s-dkim-sign-doc-0.6.2-r0.apk8.5 KiB2024-06-02 06:42:50
py3-columnize-0.3.11-r4.apk8.5 KiB2024-08-06 12:35:38
perl-net-netmask-doc-2.0002-r2.apk8.5 KiB2024-01-08 09:42:59
py3-playsound-pyc-1.3.0-r1.apk8.5 KiB2024-04-15 21:03:25
pounce-doc-3.1-r3.apk8.6 KiB2024-01-03 14:12:47
finger-0.5-r0.apk8.6 KiB2024-03-09 09:11:48
pamtester-0.1.2-r3.apk8.6 KiB2022-10-14 15:08:54
prosody-mod-smacks-0.11_hg20201208-r0.apk8.6 KiB2020-12-10 19:09:25
py3-python-logstash-0.4.8-r4.apk8.6 KiB2024-08-06 12:12:56
py3-async-lru-pyc-2.0.4-r1.apk8.6 KiB2024-04-15 21:03:17
php81-pecl-maxminddb-1.11.1-r0.apk8.6 KiB2024-04-11 00:39:23
ssl-cert-check-doc-4.14-r0.apk8.6 KiB2024-06-27 20:21:42
createrepo_c-doc-1.0.2-r1.apk8.6 KiB2024-04-15 21:03:08
py3-vatnumber-pyc-1.2-r9.apk8.6 KiB2024-08-07 12:31:12
tty-clock-2.3_git20240104-r0.apk8.6 KiB2024-01-19 03:13:19
zot-doc-2.1.0-r0.apk8.6 KiB2024-07-22 21:12:51
py3-ntplib-pyc-0.4.0-r4.apk8.6 KiB2024-04-15 21:03:24
perl-openapi-client-1.07-r0.apk8.6 KiB2024-01-14 12:52:29
libcyaml-doc-1.4.1-r2.apk8.7 KiB2024-01-12 00:42:57
sxcs-1.1.0-r0.apk8.7 KiB2024-06-06 20:53:51
cvs-fast-export-tools-1.65-r0.apk8.7 KiB2024-02-17 04:37:08
daktilo-doc-0.6.0-r0.apk8.7 KiB2024-04-11 10:47:55
fatresize-1.1.0-r1.apk8.7 KiB2022-10-28 15:20:35
perl-promise-xs-doc-0.20-r1.apk8.7 KiB2024-06-10 06:08:21
perl-sort-naturally-1.03-r4.apk8.7 KiB2023-07-03 22:52:46
py3-ovos-microphone-plugin-alsa-0.0.0-r1.apk8.7 KiB2024-04-15 21:03:25
py3-dotty-dict-pyc-1.3.1-r4.apk8.7 KiB2024-08-05 23:33:36
mediastreamer2-plugin-x264-20200722-r6.apk8.7 KiB2024-04-15 07:15:34
subdl-0_git20230616-r1.apk8.7 KiB2024-04-15 21:03:33
py3-uptime-pyc-3.0.1-r9.apk8.7 KiB2024-08-06 12:12:22
py3-certauth-1.3.0-r1.apk8.7 KiB2024-07-10 02:47:12
py3-class-doc-pyc-1.25-r1.apk8.7 KiB2024-04-15 21:03:18
quodlibet-doc-4.6.0-r1.apk8.7 KiB2024-04-15 21:03:27
py3-sphinxcontrib-nwdiag-2.0.0-r7.apk8.7 KiB2024-04-15 21:03:26
py3-unicorn-hat-2.1.2-r5.apk8.7 KiB2022-11-24 22:55:36
endlessh-1.1-r0.apk8.7 KiB2022-02-20 18:53:58
sylpheed-imap-notify-1.1.0-r1.apk8.8 KiB2024-07-10 13:55:53
ruby-multi_xml-0.7.1-r0.apk8.8 KiB2024-07-13 21:25:14
h4h5tools-dev-2.2.5-r3.apk8.8 KiB2024-05-04 13:41:59
perl-constant-generate-0.17-r5.apk8.8 KiB2023-07-03 22:52:45
py3-translationstring-pyc-1.4-r4.apk8.8 KiB2024-08-06 12:12:22
imrsh-0_git20210320-r1.apk8.8 KiB2022-10-28 15:20:43
perl-guard-1.023-r9.apk8.8 KiB2024-06-10 06:08:21
vcsh-2.0.5-r0.apk8.8 KiB2023-06-16 22:22:13
fcitx5-rime-lang-5.1.8-r0.apk8.8 KiB2024-06-26 04:20:17
ocaml-lambda-term-doc-3.2.0-r4.apk8.8 KiB2024-03-23 20:49:41
perl-crypt-random-seed-doc-0.03-r0.apk8.8 KiB2024-01-15 20:58:17
php81-pspell-8.1.29-r0.apk8.8 KiB2024-06-06 20:04:14
py3-quebra-frases-0.3.7-r1.apk8.8 KiB2024-04-15 21:03:25
py3-sphinx-theme-readable-1.3.0-r9.apk8.8 KiB2024-04-15 21:03:26
perl-data-validate-ip-0.31-r1.apk8.8 KiB2023-07-03 22:52:45
nitrocli-doc-0.4.1-r3.apk8.8 KiB2023-05-24 14:04:14
py3-ovos-phal-plugin-network-manager-pyc-1.1.0-r1.apk8.8 KiB2024-04-15 21:03:25
perl-session-storage-secure-1.000-r2.apk8.8 KiB2023-07-03 22:52:46
ccze-doc-0.2.1-r1.apk8.8 KiB2022-09-07 20:39:03
rofi-pass-2.0.2-r2.apk8.8 KiB2021-12-29 20:51:19
ncdu2-doc-2.3_git20240412-r1.apk8.8 KiB2024-06-08 20:55:13
nsnake-3.0.0-r0.apk8.8 KiB2022-04-15 15:00:16
libmdbx-doc-0.11.8-r0.apk8.8 KiB2022-07-02 04:10:35
py3-phpserialize-1.3-r8.apk8.9 KiB2024-08-07 12:47:36
tdrop-doc-0.5.0-r0.apk8.9 KiB2022-05-05 20:56:06
libucl-doc-0.9.0-r0.apk8.9 KiB2024-02-02 21:16:18
perl-net-curl-promiser-0.20-r0.apk8.9 KiB2024-02-05 16:12:39
perl-autobox-doc-3.0.1-r9.apk8.9 KiB2024-06-10 06:08:21
perl-mixin-event-dispatch-2.000-r0.apk8.9 KiB2024-01-03 18:23:16
perl-test-timer-2.12-r2.apk8.9 KiB2024-01-04 01:10:58
logc-dev-0.5.0-r0.apk8.9 KiB2023-11-18 17:32:24
py3-rfc3987-1.3.8-r5.apk8.9 KiB2024-04-15 21:03:25
py3-pylru-pyc-1.2.1-r1.apk8.9 KiB2024-04-15 21:03:25
py3-ovos-ocp-news-plugin-pyc-0.0.3-r1.apk8.9 KiB2024-04-15 21:03:25
py3-thefuzz-pyc-0.22.1-r1.apk8.9 KiB2024-04-15 21:03:26
libwbxml-dev-0.11.8-r0.apk9.0 KiB2022-03-19 09:15:46
perl-rxperl-anyevent-doc-6.8.1-r0.apk9.0 KiB2024-01-19 03:45:23
py3-forbiddenfruit-0.1.4-r2.apk9.0 KiB2024-04-15 21:03:19
py3-keepalive-0.5-r5.apk9.0 KiB2024-04-15 07:15:34
afetch-2.2.0-r1.apk9.0 KiB2022-10-28 15:20:27
t2sz-1.1.2-r0.apk9.0 KiB2023-04-13 21:28:09
lua5.2-luastatic-0.0.12-r1.apk9.0 KiB2022-10-28 15:21:02
fff-doc-2.2-r0.apk9.0 KiB2022-06-15 20:50:08
jdupes-doc-1.28.0-r0.apk9.0 KiB2024-08-06 13:02:34
lua5.3-luastatic-0.0.12-r1.apk9.0 KiB2022-10-28 15:21:02
perl-rxperl-ioasync-doc-6.9.1-r0.apk9.0 KiB2024-01-19 03:45:23
py3-sphinxcontrib-adadomain-0.2-r9.apk9.0 KiB2024-04-15 21:03:26
md5ha1-0_git20171202-r1.apk9.0 KiB2022-10-28 15:21:05
linux-openvfd-0_git20220906-r0.apk9.0 KiB2023-06-16 22:20:10
perl-number-format-doc-1.76-r1.apk9.0 KiB2023-07-03 22:52:45
pam_sqlite3-1.0.2-r1.apk9.0 KiB2023-05-25 06:20:06
innernet-doc-1.6.1-r0.apk9.0 KiB2024-02-23 19:45:01
freediameter-1.5.0-r1.apk9.0 KiB2022-11-06 10:59:40
icingaweb2-module-pnp-1.1.0-r1.apk9.0 KiB2018-10-23 15:03:34
bindfs-doc-1.17.6-r0.apk9.0 KiB2023-12-03 10:03:38
perl-rxperl-mojo-doc-6.8.2-r0.apk9.1 KiB2024-08-08 03:06:31
py3-uc-micro-py-1.0.2-r1.apk9.1 KiB2024-04-15 21:03:26
lua5.4-luastatic-0.0.12-r1.apk9.1 KiB2022-10-28 15:21:02
duc-doc-1.4.5-r0.apk9.1 KiB2023-07-02 22:01:35
py3-certauth-pyc-1.3.0-r1.apk9.1 KiB2024-07-10 02:47:12
py3-dweepy-0.3.0-r7.apk9.1 KiB2024-04-15 21:03:18
perl-musicbrainz-discid-0.06-r1.apk9.1 KiB2024-06-10 06:08:21
trafficserver9-plugin-regexrevalidate-9.2.4-r0.apk9.1 KiB2024-04-05 13:43:12
gatling-doc-0.16-r4.apk9.1 KiB2023-08-07 20:56:34
py3-ovos-phal-plugin-system-pyc-0.0.4-r1.apk9.1 KiB2024-04-15 21:03:25
perl-future-q-doc-0.120-r0.apk9.1 KiB2024-01-19 00:18:18
py3-sphinxcontrib-fulltoc-1.2.0-r8.apk9.1 KiB2024-04-15 21:03:26
lua-inet-0.2.0-r1.apk9.1 KiB2024-07-04 16:35:13
ssh-honeypot-0.1.1-r1.apk9.1 KiB2023-05-15 16:46:23
py3-sphinxcontrib-blockdiag-pyc-3.0.0-r4.apk9.2 KiB2024-04-15 21:03:26
py3-translationstring-1.4-r4.apk9.2 KiB2024-08-06 12:12:22
perl-gtk3-doc-0.038-r1.apk9.2 KiB2023-07-03 22:52:45
py3-pymsgbox-1.0.9-r5.apk9.2 KiB2024-08-07 12:47:36
pinentry-bemenu-0.13.1-r0.apk9.2 KiB2024-03-22 14:22:06
horust-doc-0.1.7-r2.apk9.2 KiB2024-06-13 14:54:29
cargo-shuttle-doc-0.47.0-r0.apk9.2 KiB2024-07-25 20:45:08
perl-net-async-redis-xs-1.001-r1.apk9.2 KiB2024-06-10 06:08:21
grommunio-admin-common-1.0_git20240313-r1.apk9.2 KiB2024-05-30 23:04:20
py3-tls_parser-2.0.1-r1.apk9.2 KiB2024-04-15 21:03:26
py3-telegram-text-0.2.0-r1.apk9.2 KiB2024-04-15 21:03:26
py3-netifaces2-pyc-0.0.22-r0.apk9.2 KiB2024-04-16 00:38:44
xcur2png-0.7.1-r0.apk9.2 KiB2024-04-23 08:01:47
py3-aiohttp-jinja2-pyc-1.6-r2.apk9.2 KiB2024-04-15 21:03:17
py3-google-trans-new-1.1.9-r2.apk9.2 KiB2024-04-15 21:03:19
perl-future-http-0.17-r0.apk9.2 KiB2024-04-15 07:15:34
catdoc-doc-0.95-r1.apk9.2 KiB2022-10-28 15:20:31
click-dev-0.5.2-r2.apk9.3 KiB2024-06-22 10:57:53
gtk4-layer-shell-dev-1.0.2-r0.apk9.3 KiB2023-11-12 12:21:05
fcitx5-chewing-lang-5.1.5-r0.apk9.3 KiB2024-06-26 04:20:17
py3-sphinxcontrib-actdiag-pyc-3.0.0-r4.apk9.3 KiB2024-08-06 12:17:21
libiscsi-doc-1.19.0-r2.apk9.3 KiB2023-05-15 16:46:11
perl-class-c3-doc-0.35-r1.apk9.3 KiB2023-07-03 22:52:45
dsnet-doc-0.7.3-r5.apk9.3 KiB2024-07-04 08:22:24
py3-jaraco.vcs-2.2.0-r0.apk9.3 KiB2024-05-13 07:58:29
py3-sphinxcontrib-seqdiag-pyc-3.0.0-r5.apk9.3 KiB2024-04-15 21:03:26
py3-junit-xml-pyc-1.9-r3.apk9.3 KiB2024-08-05 23:33:36
planarity-3.0.2.0-r2.apk9.3 KiB2023-08-01 15:19:51
perl-database-async-engine-postgresql-doc-1.004-r0.apk9.3 KiB2024-01-17 12:12:03
uclient-fetch-20210514-r0.apk9.3 KiB2022-06-09 01:00:44
pokoy-0.2.5-r0.apk9.3 KiB2023-05-22 21:23:47
mktorrent-borg-0.9.9-r1.apk9.4 KiB2022-08-04 08:48:12
sflowtool-doc-6.02-r0.apk9.4 KiB2023-11-13 22:59:57
icesprog-0_git20240108-r0.apk9.4 KiB2024-06-23 03:07:39
perl-color-rgb-util-0.607-r0.apk9.4 KiB2024-04-16 11:30:54
supermin-doc-5.2.2-r2.apk9.4 KiB2024-04-18 11:11:28
php81-enchant-8.1.29-r0.apk9.4 KiB2024-06-06 20:04:14
py3-nose-timer-1.0.1-r6.apk9.4 KiB2024-08-07 12:30:59
py3-python-archive-pyc-0.2-r6.apk9.4 KiB2024-04-15 21:03:25
nbsdgames-doc-5-r0.apk9.4 KiB2022-05-04 13:20:40
py3-pep8-naming-0.13.3-r3.apk9.4 KiB2024-08-06 12:15:05
openswitcher-proxy-0.5.0-r3.apk9.4 KiB2024-04-15 21:03:17
x11docker-doc-7.6.0-r1.apk9.4 KiB2023-12-19 14:55:59
py3-pyisbn-pyc-1.3.1-r3.apk9.4 KiB2024-08-06 12:12:22
eclipse-ecj-doc-4.26-r0.apk9.4 KiB2023-01-14 16:43:43
py3-natpmp-1.3.2-r1.apk9.4 KiB2024-04-15 21:03:24
rustic-zsh-completion-0.6.1-r1.apk9.4 KiB2024-01-08 09:43:00
rlottie-dev-0.2_git20230831-r0.apk9.4 KiB2023-12-18 14:55:10
py3-levenshtein-pyc-0.25.1-r2.apk9.4 KiB2024-04-15 07:15:34
apt-mirror-0.5.4-r0.apk9.4 KiB2023-01-05 22:23:03
py3-jaraco.path-pyc-3.7.0-r0.apk9.4 KiB2024-05-13 07:58:29
lomiri-trust-store-dev-2.0.2-r3.apk9.5 KiB2024-06-26 03:46:51
perl-class-c3-0.35-r1.apk9.5 KiB2023-07-03 22:52:45
avarice-doc-2.14-r3.apk9.5 KiB2022-10-17 12:57:44
perl-object-event-1.23-r0.apk9.5 KiB2024-01-03 19:16:40
gpg-remailer-doc-3.04.07-r1.apk9.5 KiB2024-07-04 12:51:49
brltty-doc-6.6-r1.apk9.5 KiB2023-11-12 12:19:30
py3-lib_users-pyc-0.15-r4.apk9.5 KiB2024-08-07 12:30:59
py3-ovos-tts-server-plugin-0.0.2_alpha13-r1.apk9.5 KiB2024-05-31 06:16:30
libfishsound-1.0.0-r1.apk9.5 KiB2020-08-19 21:59:54
py3-landlock-pyc-1.0.0_pre4-r2.apk9.5 KiB2024-04-15 21:03:19
yoe-kiosk-browser-0_git20231118-r0.apk9.5 KiB2023-12-13 20:03:50
libtsm-dev-4.0.2-r0.apk9.5 KiB2022-10-05 00:06:46
perl-minion-backend-pg-10.30-r0.apk9.6 KiB2024-06-05 17:55:08
perl-net-amqp-rabbitmq-doc-2.40011-r0.apk9.6 KiB2024-06-24 02:15:44
perl-dbix-class-candy-doc-0.005003-r5.apk9.6 KiB2023-07-03 22:52:45
s-postgray-doc-0.8.3-r0.apk9.6 KiB2024-06-23 02:51:49
perl-algorithm-backoff-0.010-r0.apk9.6 KiB2024-04-16 11:30:54
ovos-messagebus-0.0.3-r1.apk9.6 KiB2024-04-15 21:03:17
atool-doc-0.39.0-r4.apk9.6 KiB2022-10-28 15:20:31
libsds-2.0.0-r1.apk9.6 KiB2020-12-16 07:27:26
perl-future-q-0.120-r0.apk9.6 KiB2024-01-19 00:18:18
amdgpu-fan-pyc-0.1.0-r5.apk9.6 KiB2024-08-04 21:12:45
libgrapheme-1-r0.apk9.6 KiB2022-01-28 23:10:12
ocaml-result-1.5-r2.apk9.6 KiB2024-03-23 20:50:03
perl-ref-util-xs-0.117-r8.apk9.6 KiB2024-06-10 06:08:21
kerberoast-0.2.0-r1.apk9.6 KiB2024-04-15 21:03:12
irccd-dev-4.0.3-r0.apk9.6 KiB2023-07-29 22:01:09
py3-pyrebase-3.0.27-r5.apk9.6 KiB2024-08-06 12:14:32
console_bridge-1.0.2-r0.apk9.6 KiB2022-11-08 23:03:32
py3-forbiddenfruit-pyc-0.1.4-r2.apk9.7 KiB2024-04-15 21:03:19
keystone-python-pyc-0.9.2-r6.apk9.7 KiB2024-04-15 21:03:12
php81-pecl-csv-0.4.2-r0.apk9.7 KiB2022-06-03 05:54:16
py3-iso639-lang-pyc-2.2.3-r0.apk9.7 KiB2024-04-18 13:58:57
perl-dancer-plugin-passphrase-2.0.1-r4.apk9.7 KiB2023-07-03 22:52:45
perl-digest-crc-0.24-r1.apk9.7 KiB2024-06-10 06:08:21
proot-doc-5.4.0-r0.apk9.7 KiB2023-06-16 22:21:07
zint-dev-2.13.0-r0.apk9.7 KiB2024-06-26 11:02:36
py3-clickclick-pyc-20.10.2-r4.apk9.8 KiB2024-08-06 12:24:26
emacs-hnreader-0_git20221116-r0.apk9.8 KiB2024-04-02 09:39:09
noice-0.8-r1.apk9.8 KiB2022-10-28 15:21:06
perl-hash-ordered-0.014-r0.apk9.8 KiB2024-01-19 00:18:18
fbvnc-0_git20220812-r0.apk9.8 KiB2024-07-29 07:18:21
perl-dist-build-0.010-r0.apk9.8 KiB2024-08-04 05:30:53
hyprutils-dev-0.1.5-r0.apk9.8 KiB2024-06-27 05:58:10
perl-test-lwp-useragent-0.036-r0.apk9.8 KiB2024-01-14 15:55:33
py3-synapse-auto-accept-invite-1.2.0-r0.apk9.8 KiB2024-07-08 20:57:27
perl-freezethaw-0.5001-r2.apk9.8 KiB2023-07-03 22:52:45
lua5.2-libmodbus-0.6.1-r0.apk9.8 KiB2020-07-12 10:14:17
py3-pymsgbox-pyc-1.0.9-r5.apk9.8 KiB2024-08-07 12:47:36
lua5.1-libmodbus-0.6.1-r0.apk9.9 KiB2020-07-12 10:14:17
perl-test-toolbox-0.4-r5.apk9.9 KiB2023-10-17 11:18:57
py3-natpmp-pyc-1.3.2-r1.apk9.9 KiB2024-04-15 21:03:24
libuecc-7-r3.apk9.9 KiB2023-10-18 16:22:44
lomiri-indicator-network-dev-1.0.2-r2.apk9.9 KiB2024-06-22 10:57:53
perl-test-modern-doc-0.013-r3.apk9.9 KiB2023-07-03 22:52:46
thunarx-python-0.5.2-r2.apk9.9 KiB2024-04-16 16:34:33
libgrapheme-dev-1-r0.apk9.9 KiB2022-01-28 23:10:12
apt-dater-doc-1.0.4-r3.apk9.9 KiB2023-04-30 21:30:08
php84-pecl-uploadprogress-doc-2.0.2-r1.apk9.9 KiB2024-07-04 13:36:05
perl-math-libm-1.00-r14.apk9.9 KiB2024-06-10 06:08:21
libvoikko-dev-4.3.2-r1.apk9.9 KiB2024-04-15 21:03:15
py3-typing_inspect-0.9.0-r2.apk9.9 KiB2024-04-15 21:03:26
py3-nose-timer-pyc-1.0.1-r6.apk9.9 KiB2024-08-07 12:30:59
py3-proglog-pyc-0.1.10-r2.apk9.9 KiB2024-04-15 21:03:25
perl-html-tableextract-doc-2.15-r4.apk9.9 KiB2023-07-03 22:52:45
php81-pecl-uploadprogress-doc-2.0.2-r1.apk9.9 KiB2024-04-11 00:39:23
emacs-llama-0.3.1_git20240722-r0.apk9.9 KiB2024-07-30 09:25:20
perl-net-async-xmpp-doc-0.003-r0.apk9.9 KiB2024-01-16 19:43:26
handlebars-utils-1.0.0-r1.apk9.9 KiB2023-05-15 16:45:54
pcsc-perl-doc-1.4.16-r1.apk10.0 KiB2024-06-10 06:08:21
perl-list-binarysearch-0.25-r0.apk10.0 KiB2024-01-17 12:12:03
py3-ovos-audio-plugin-simple-0.0.1-r0.apk10.0 KiB2024-05-31 06:16:30
trafficserver9-plugin-generator-9.2.4-r0.apk10.0 KiB2024-04-05 13:43:12
mrsh-dev-0_git20210518-r1.apk10.0 KiB2022-10-28 15:21:06
sway-audio-idle-inhibit-0.1.1_git20230809-r0.apk10.0 KiB2023-08-31 10:46:45
perl-term-ui-0.50-r1.apk10.0 KiB2023-07-03 22:52:46
py3-uptime-3.0.1-r9.apk10.0 KiB2024-08-06 12:12:22
wpa_actiond-1.4-r7.apk10.0 KiB2022-10-28 15:21:49
py3-pytest-regtest-2.1.1-r1.apk10.0 KiB2024-04-15 21:03:25
perl-starman-doc-0.4017-r0.apk10.0 KiB2023-09-14 10:55:18
py3-thefuzz-0.22.1-r1.apk10.0 KiB2024-04-15 21:03:26
spvm-thread-0.001-r1.apk10.0 KiB2024-06-10 06:08:21
py3-itunespy-1.6-r4.apk10.0 KiB2024-08-05 23:33:36
knative-client-bash-completion-1.14.0-r3.apk10.0 KiB2024-07-04 08:22:29
apache2-mod-authnz-external-doc-3.3.3-r0.apk10.0 KiB2020-02-26 20:28:40
py3-cucumber-tag-expressions-pyc-6.0.0-r1.apk10.0 KiB2024-04-15 21:03:18
kumactl-bash-completion-2.8.1-r0.apk10.0 KiB2024-07-07 05:33:19
py3-colorthief-pyc-0.2.1-r1.apk10.1 KiB2024-04-15 21:03:18
py3-minidb-2.0.7-r4.apk10.1 KiB2024-08-06 12:27:10
php81-pecl-pcov-1.0.11-r0.apk10.1 KiB2024-04-11 00:39:23
trafficserver9-doc-9.2.4-r0.apk10.1 KiB2024-04-05 13:43:12
pixi-fish-completion-0.24.2-r0.apk10.1 KiB2024-06-16 21:09:03
py3-ovos-stt-plugin-server-0.0.4_alpha4-r1.apk10.1 KiB2024-04-15 21:03:25
php84-enchant-8.4.0_alpha4-r0.apk10.1 KiB2024-08-01 12:09:14
netsed-1.3-r3.apk10.1 KiB2022-10-28 15:21:06
ansible-bender-doc-0.10.1-r2.apk10.1 KiB2024-04-15 07:15:08
py3-pygtail-pyc-0.14.0-r3.apk10.1 KiB2024-04-15 21:03:25
pick-4.0.0-r0.apk10.1 KiB2023-05-18 10:47:54
flowd-doc-0.9.1-r10.apk10.1 KiB2024-06-10 06:08:21
perl-minion-backend-sqlite-5.0.7-r0.apk10.1 KiB2024-02-10 01:02:00
xfce4-smartbookmark-plugin-0.5.2-r0.apk10.2 KiB2023-08-03 15:17:46
php84-pecl-pcov-1.0.11-r0.apk10.2 KiB2024-07-04 19:07:31
fcitx5-gtk-dev-5.1.3-r0.apk10.2 KiB2024-05-10 03:34:30
nvim-gruvbox-0.0.0_git20221212-r1.apk10.2 KiB2024-06-18 14:37:20
py3-pytest-metadata-3.1.1-r0.apk10.2 KiB2024-04-15 23:46:52
perl-system-command-doc-1.122-r0.apk10.2 KiB2024-02-05 16:09:43
distrobuilder-doc-3.0-r0.apk10.2 KiB2024-07-28 01:22:58
py3-bottle-session-1.0-r6.apk10.2 KiB2024-04-15 21:03:18
fatrace-0.17.0-r0.apk10.2 KiB2022-11-18 01:56:19
nullmailer-doc-2.2-r4.apk10.2 KiB2021-12-13 15:06:51
cgo-0.6.1-r1.apk10.2 KiB2022-10-28 15:20:31
toss-1.1-r0.apk10.3 KiB2022-02-25 14:17:42
mint-y-icons-doc-1.7.2-r0.apk10.3 KiB2023-12-31 19:13:25
gtk4-layer-shell-demo-1.0.2-r0.apk10.3 KiB2023-11-12 12:21:05
py3-glob2-0.7-r6.apk10.3 KiB2024-07-13 16:41:55
opkg-0.6.2-r0.apk10.3 KiB2023-11-27 21:03:40
alttab-doc-1.7.1-r0.apk10.3 KiB2023-06-16 22:18:17
perl-anyevent-riperedis-doc-0.48-r0.apk10.3 KiB2024-01-15 14:28:03
py3-aiohttp-session-2.12.0-r3.apk10.3 KiB2024-04-15 21:03:17
py3-sstash-pyc-0.17-r8.apk10.3 KiB2024-04-15 21:03:26
shntool-doc-3.0.10-r4.apk10.3 KiB2022-10-08 13:37:12
ifuse-1.1.4-r4.apk10.4 KiB2023-08-13 19:16:13
linuxptp-phc_ctl-4.3-r0.apk10.4 KiB2024-06-20 02:04:59
ovos-phal-0.0.5_alpha6-r1.apk10.4 KiB2024-04-15 21:03:17
py3-iniparse-doc-0.5-r6.apk10.4 KiB2024-04-15 21:03:19
zint-doc-2.13.0-r0.apk10.4 KiB2024-06-26 11:02:36
perl-pod-tidy-0.10-r1.apk10.4 KiB2023-07-03 22:52:46
tree-sitter-git-diff-0_git20230730-r0.apk10.4 KiB2023-11-16 23:15:38
trafficserver9-plugin-cacherangerequests-9.2.4-r0.apk10.4 KiB2024-04-05 13:43:12
py3-openssh-wrapper-pyc-0.5_git20130425-r4.apk10.4 KiB2024-04-15 21:03:25
pixi-zsh-completion-0.24.2-r0.apk10.4 KiB2024-06-16 21:09:03
perl-math-int64-doc-0.57-r1.apk10.4 KiB2024-06-10 06:08:21
py3-sphinx-theme-quark-0.6.0-r2.apk10.4 KiB2023-04-25 14:57:10
emacs-embark-consult-1.0_git20240327-r0.apk10.5 KiB2024-04-09 14:44:53
arj-doc-0_git20220125-r1.apk10.5 KiB2022-10-14 15:08:43
nvim-cmp-doc-0.0.0_git20221011-r1.apk10.5 KiB2024-06-18 14:37:20
py3-bencode-pyc-4.0.0-r1.apk10.5 KiB2024-04-15 21:03:18
trafficserver9-plugin-statsoverhttp-9.2.4-r0.apk10.5 KiB2024-04-05 13:43:12
perl-trafficserver9-9.2.4-r0.apk10.5 KiB2024-04-05 13:43:12
py3-ovos-ww-plugin-vosk-pyc-0.1.1-r1.apk10.5 KiB2024-04-19 13:10:22
py3-ovos-phal-plugin-system-0.0.4-r1.apk10.5 KiB2024-04-15 21:03:25
perl-log-fu-0.31-r4.apk10.5 KiB2023-07-03 22:52:45
perl-minion-backend-redis-0.003-r0.apk10.5 KiB2024-02-10 01:02:00
py3-aiohttp-remotes-1.2.0-r3.apk10.5 KiB2024-04-15 21:03:17
tcc-0.9.27_git20240117-r0.apk10.5 KiB2024-01-26 06:27:08
py3-sphinx-theme-better-0.1.5-r7.apk10.5 KiB2024-08-03 11:24:09
py3-sphinxcontrib-inheritance-0.9.0-r9.apk10.5 KiB2024-04-15 21:03:26
bananui-demos-2.0.0-r0.apk10.5 KiB2023-10-06 05:49:06
php81-bz2-8.1.29-r0.apk10.5 KiB2024-06-06 20:04:14
perl-pod-tidy-doc-0.10-r1.apk10.6 KiB2023-07-03 22:52:46
py3-sphinxcontrib-htsql-0.1.5-r8.apk10.6 KiB2024-04-15 21:03:26
php84-bz2-8.4.0_alpha4-r0.apk10.6 KiB2024-08-01 12:09:13
ocaml-ppx_derivers-1.2.1-r2.apk10.6 KiB2024-03-23 20:49:50
lolcat-1.4-r0.apk10.6 KiB2023-06-16 22:20:17
py3-google-trans-new-pyc-1.1.9-r2.apk10.6 KiB2024-04-15 21:03:19
py3-flask-httpauth-pyc-4.8.0-r2.apk10.6 KiB2024-04-15 21:03:19
py3-sphinxcontrib-lassodomain-pyc-0.4-r8.apk10.6 KiB2024-04-15 21:03:26
cm256cc-1.1.1-r0.apk10.6 KiB2024-04-26 15:07:13
ydcv-pyc-0.7-r7.apk10.6 KiB2024-04-15 21:03:33
perl-log-message-0.08-r3.apk10.6 KiB2023-07-03 22:52:45
ocaml-extlib-doc-1.7.9-r2.apk10.6 KiB2024-03-23 20:49:33
py3-rfc3987-pyc-1.3.8-r5.apk10.6 KiB2024-04-15 21:03:25
py3-businesstime-0.3.0-r9.apk10.6 KiB2024-04-15 21:03:18
py3-py-radix-pyc-0.10.0-r9.apk10.6 KiB2024-04-15 21:03:25
py3-sortedcollections-2.1.0-r5.apk10.7 KiB2024-08-08 18:20:05
psi-notify-1.3.1-r0.apk10.7 KiB2023-04-09 12:03:22
snapper-dev-0.11.1-r0.apk10.7 KiB2024-07-12 07:01:30
py3-pydes-2.0.1-r5.apk10.7 KiB2024-08-06 12:33:39
py3-phpserialize-pyc-1.3-r8.apk10.7 KiB2024-08-07 12:47:36
ssl-cert-check-4.14-r0.apk10.7 KiB2024-06-27 20:21:42
py3-riotctrl-pyc-0.5.0-r4.apk10.7 KiB2024-08-07 12:33:39
lem-common-2.2.0-r0.apk10.7 KiB2024-04-29 15:01:56
perl-promise-es6-0.28-r0.apk10.7 KiB2024-01-19 00:18:18
fff-2.2-r0.apk10.7 KiB2022-06-15 20:50:08
toapk-1.0-r0.apk10.7 KiB2023-12-02 12:04:01
xvkbd-doc-4.1-r2.apk10.8 KiB2022-10-28 15:21:49
libdng-0.1.1-r0.apk10.8 KiB2024-01-21 22:37:00
linuxkit-doc-1.3.0-r0.apk10.8 KiB2024-07-22 21:12:50
py3-flask-flatpages-0.8.2-r2.apk10.8 KiB2024-04-15 21:03:19
py3-click-completion-0.5.2-r1.apk10.8 KiB2024-04-15 21:03:18
icingaweb2-module-fileshipper-1.2.0-r3.apk10.8 KiB2023-12-04 08:23:59
qstardict-doc-2.0.2-r0.apk10.8 KiB2024-07-02 04:50:14
tick-1.2.0-r0.apk10.8 KiB2024-05-31 13:22:17
perl-netaddr-mac-0.98-r1.apk10.8 KiB2023-07-03 22:52:45
py3-puremagic-pyc-1.26-r0.apk10.9 KiB2024-07-14 22:59:01
py3-u-msgpack-2.8.0-r2.apk10.9 KiB2024-08-05 23:33:36
perl-dist-build-doc-0.010-r0.apk10.9 KiB2024-08-04 05:30:53
py3-ovos-phal-plugin-network-manager-1.1.0-r1.apk10.9 KiB2024-04-15 21:03:25
hare-http-0_git20240304-r0.apk10.9 KiB2024-05-07 23:02:20
py3-flask-bootstrap-pyc-3.3.7.1-r8.apk10.9 KiB2024-04-15 21:03:19
py3-pytest-regtest-pyc-2.1.1-r1.apk10.9 KiB2024-04-15 21:03:25
mkdocs-ivory-0.4.6-r5.apk10.9 KiB2024-08-04 21:34:27
py3-rabbit-1.1.0-r8.apk10.9 KiB2024-08-06 12:26:56
thumbdrives-0.3.2-r2.apk10.9 KiB2024-05-04 00:44:59
php82-pecl-jsmin-3.0.0-r0.apk11.0 KiB2023-09-17 22:07:07
py3-dataclasses-serialization-1.3.1-r3.apk11.0 KiB2024-04-15 21:03:18
php83-pecl-jsmin-3.0.0-r0.apk11.0 KiB2023-09-17 22:07:07
php81-pecl-jsmin-3.0.0-r0.apk11.0 KiB2023-09-17 22:07:07
py3-requests-kerberos-pyc-0.14.0-r4.apk11.0 KiB2024-04-15 21:03:25
py3-blockchain-1.4.4-r7.apk11.0 KiB2024-08-07 12:30:59
fcitx5-kkc-lang-5.1.4-r0.apk11.0 KiB2024-06-26 04:20:17
m17n-db-lang-1.8.5-r1.apk11.0 KiB2024-08-02 17:04:38
wch-isp-0.4.1-r1.apk11.0 KiB2024-01-19 18:40:43
screenkey-doc-1.5-r6.apk11.0 KiB2024-04-15 21:03:27
xwaylandvideobridge-lang-0.4.0-r1.apk11.0 KiB2024-03-03 23:18:13
git-quick-stats-2.5.6-r0.apk11.1 KiB2024-05-19 15:27:21
perl-glib-object-introspection-doc-0.051-r1.apk11.1 KiB2024-06-10 06:08:21
perl-anyevent-mqtt-1.212810-r0.apk11.1 KiB2024-04-16 17:38:40
neard-dev-0.19-r0.apk11.1 KiB2023-09-19 19:49:47
qml-asteroid-dev-2.0.0-r0.apk11.1 KiB2023-08-31 09:41:36
py3-base58-2.1.1-r2.apk11.1 KiB2024-08-08 18:20:05
lomiri-schemas-0.1.4-r2.apk11.1 KiB2024-06-22 10:57:53
perl-getopt-long-descriptive-doc-0.114-r0.apk11.1 KiB2024-01-27 21:40:33
py3-sphinxcontrib-issuetracker-0.11-r7.apk11.1 KiB2024-04-15 21:03:26
perl-nice-try-doc-1.3.10-r0.apk11.1 KiB2024-03-26 11:44:26
kirc-0.3.2-r0.apk11.2 KiB2023-05-23 12:47:18
py3-sphinxcontrib-phpdomain-0.11.2-r1.apk11.2 KiB2024-04-15 21:03:26
py3-sphinxcontrib-nwdiag-pyc-2.0.0-r7.apk11.2 KiB2024-04-15 21:03:26
py3-pam-2.0.2-r2.apk11.2 KiB2024-04-15 21:03:25
py3-lsp-mypy-pyc-0.6.8-r1.apk11.2 KiB2024-04-15 21:03:24
py3-flask-paginate-pyc-0.8.1-r6.apk11.2 KiB2024-04-15 21:03:19
perl-crypt-random-seed-0.03-r0.apk11.2 KiB2024-01-15 20:58:17
nuzzle-1.5-r0.apk11.2 KiB2023-12-08 14:04:46
py3-pdal-pyc-3.2.3-r5.apk11.2 KiB2024-08-07 12:46:43
py3-x-wr-timezone-0.0.7-r1.apk11.3 KiB2024-04-15 21:03:27
perl-anyevent-mqtt-doc-1.212810-r0.apk11.3 KiB2024-04-16 17:38:40
hsetroot-1.0.5-r1.apk11.3 KiB2022-10-28 15:20:42
py3-vdf-3.4-r1.apk11.3 KiB2024-04-15 21:03:26
py3-sphinxcontrib-restbuilder-0.3-r6.apk11.3 KiB2024-04-15 21:03:26
lrcalc-dev-2.1-r1.apk11.3 KiB2023-05-15 16:46:14
xcb-util-errors-1.0.1-r0.apk11.3 KiB2024-06-27 05:58:10
ocaml-ppx_derivers-dev-1.2.1-r2.apk11.3 KiB2024-03-23 20:49:50
libcryptmount-2.20-r1.apk11.4 KiB2024-07-25 16:36:55
lychee-doc-0.15.1-r0.apk11.4 KiB2024-04-29 23:18:45
php84-pecl-zstd-0.13.3-r0.apk11.4 KiB2024-07-05 00:11:04
perl-xml-bare-doc-0.53-r13.apk11.4 KiB2024-06-10 06:08:21
mm-dev-1.4.2-r1.apk11.4 KiB2017-03-20 16:29:25
php81-pecl-zstd-0.13.3-r0.apk11.4 KiB2024-04-11 00:39:23
lomiri-content-hub-dev-1.1.1-r1.apk11.4 KiB2024-06-22 10:57:53
py3-ovos-tts-plugin-piper-pyc-0.0.1-r0.apk11.4 KiB2024-06-08 11:15:06
dasht-doc-2.4.0-r0.apk11.4 KiB2023-12-24 03:12:46
octoprint-filecheck-pyc-2024.3.27-r0.apk11.4 KiB2024-04-16 00:38:44
py3-ovos-ocp-news-plugin-0.0.3-r1.apk11.4 KiB2024-04-15 21:03:25
perl-devel-confess-0.009004-r0.apk11.4 KiB2024-02-24 12:59:03
libecap-dev-1.0.1-r1.apk11.4 KiB2023-05-15 16:46:11
bcnm-doc-0.0.1.7-r0.apk11.4 KiB2023-11-06 21:49:30
py3-uritools-4.0.2-r2.apk11.4 KiB2024-08-05 23:33:36
extrace-0.9-r0.apk11.4 KiB2024-04-18 20:07:05
py3-padacioso-0.2.1-r0.apk11.4 KiB2024-05-31 06:16:30
emacs-taxy-0.10.1-r0.apk11.5 KiB2024-04-02 09:39:10
py3-halo-0.0.31-r5.apk11.5 KiB2024-08-06 12:35:50
py3-pygelbooru-pyc-0.5.0-r3.apk11.5 KiB2024-07-09 11:59:07
setroot-2.0.2-r1.apk11.5 KiB2022-10-28 15:21:40
rofi-blocks-0_git20210123-r0.apk11.5 KiB2022-02-01 18:26:40
perl-net-mqtt-simple-doc-1.29-r0.apk11.5 KiB2024-04-16 17:38:40
lumina-desktop-doc-1.6.2-r0.apk11.5 KiB2022-07-05 19:10:43
py3-downloader-cli-0.3.4-r1.apk11.5 KiB2024-04-15 21:03:18
restart-services-0.17.0-r0.apk11.5 KiB2021-12-18 22:53:46
mtree-portable-doc-0_git20220519-r0.apk11.5 KiB2024-06-20 14:35:23
py3-itemadapter-0.8.0-r3.apk11.5 KiB2024-08-07 12:47:03
gnome-common-3.18.0-r3.apk11.5 KiB2023-01-29 21:04:42
pastebinc-0.9.1-r2.apk11.5 KiB2022-10-28 15:21:33
xlhtml-0.5.1-r0.apk11.5 KiB2024-03-30 17:37:48
ptylie-0.2-r1.apk11.5 KiB2022-10-28 15:21:38
perl-list-binarysearch-doc-0.25-r0.apk11.5 KiB2024-01-17 12:12:03
ddgr-doc-2.2-r0.apk11.5 KiB2024-01-14 19:13:16
py3-lsp-mypy-0.6.8-r1.apk11.5 KiB2024-04-15 21:03:24
java-jtharness-doc-6.0_p12-r0.apk11.6 KiB2022-10-05 19:11:04
py3-wgconfig-pyc-1.0.3-r0.apk11.6 KiB2024-07-09 20:31:18
cgit-pink-doc-1.4.1-r0.apk11.6 KiB2024-06-27 05:58:10
tldr-python-client-3.2.0-r2.apk11.6 KiB2024-08-09 22:27:35
asteroid-flashlight-2.0.0-r0.apk11.6 KiB2023-08-31 09:41:34
minidyndns-1.3.0-r3.apk11.6 KiB2021-10-19 01:46:22
perl-net-curl-promiser-doc-0.20-r0.apk11.7 KiB2024-02-05 16:12:39
py3-highctidh-pyc-1.0.2024050500-r0.apk11.7 KiB2024-05-06 00:44:44
php81-pecl-opentelemetry-1.0.3-r0.apk11.7 KiB2024-05-04 15:12:19
perl-test-file-1.993-r1.apk11.7 KiB2023-07-03 22:52:46
py3-bson-0.5.10-r6.apk11.7 KiB2024-08-05 23:33:36
py3-protego-pyc-0.3.0-r1.apk11.7 KiB2024-04-15 21:03:25
stgit-fish-completion-2.4.7-r1.apk11.7 KiB2024-06-07 22:45:46
py3-virtualenvwrapper-pyc-6.1.0-r1.apk11.7 KiB2024-04-15 21:03:26
py3-openapi-codec-pyc-1.3.2-r9.apk11.7 KiB2024-04-15 21:03:25
py3-recommonmark-0.7.1-r4.apk11.7 KiB2024-08-05 23:33:36
py3-simplesoapy-pyc-1.5.1-r7.apk11.8 KiB2024-08-06 12:12:43
yamkix-pyc-0.10.0-r1.apk11.8 KiB2024-04-15 21:03:33
py3-pymsteams-0.2.3-r1.apk11.8 KiB2024-08-07 12:45:22
lizardfs-doc-3.13.0-r13.apk11.8 KiB2024-04-22 17:58:05
perl-datetime-timezone-catalog-extend-0.3.1-r0.apk11.8 KiB2024-02-24 12:59:03
perl-ryu-async-doc-0.020-r0.apk11.8 KiB2024-01-15 20:58:17
php81-pecl-brotli-0.15.0-r2.apk11.8 KiB2024-06-04 00:37:16
tdrop-0.5.0-r0.apk11.8 KiB2022-05-05 20:56:06
perl-system-command-1.122-r0.apk11.8 KiB2024-02-05 16:09:43
espeakup-0.90-r2.apk11.9 KiB2024-03-14 17:18:40
time-1.9-r1.apk11.9 KiB2022-10-28 15:21:44
libmygpo-qt-dev-1.1.0-r2.apk11.9 KiB2024-05-08 13:01:52
py3-orderedmultidict-1.0.1-r7.apk11.9 KiB2024-08-06 07:41:03
ocaml-calendar-doc-2.04-r4.apk11.9 KiB2024-03-23 20:49:22
php84-pecl-brotli-0.15.0-r1.apk11.9 KiB2024-07-05 17:42:19
perl-test-roo-1.004-r3.apk11.9 KiB2023-07-03 22:52:46
py3-requests-kerberos-0.14.0-r4.apk11.9 KiB2024-04-15 21:03:25
dnstap-ldns-0.2.1-r0.apk11.9 KiB2024-03-08 15:55:03
m2r2-0.3.3-r2.apk11.9 KiB2024-04-15 21:03:15
ovpncc-0.1_rc1-r0.apk11.9 KiB2023-03-16 01:57:57
py3-sphinxcontrib-issuetracker-pyc-0.11-r7.apk12.0 KiB2024-04-15 21:03:26
php81-posix-8.1.29-r0.apk12.0 KiB2024-06-06 20:04:14
py3-git-versioner-7.1-r1.apk12.0 KiB2024-04-15 21:03:19
vmtouch-1.3.1-r0.apk12.0 KiB2023-03-28 12:18:20
py3-sphinxcontrib-adadomain-pyc-0.2-r9.apk12.0 KiB2024-04-15 21:03:26
perl-net-mqtt-simple-1.29-r0.apk12.0 KiB2024-04-16 17:38:40
php81-tokenizer-8.1.29-r0.apk12.0 KiB2024-06-06 20:04:14
perl-promise-me-doc-0.4.11-r0.apk12.0 KiB2024-04-27 08:33:13
perl-class-accessor-grouped-0.10014-r2.apk12.0 KiB2023-07-03 22:52:45
pqiv-doc-2.12-r1.apk12.0 KiB2022-10-28 15:21:38
perl-promise-es6-doc-0.28-r0.apk12.1 KiB2024-01-19 00:18:18
perl-file-rename-doc-2.02-r0.apk12.1 KiB2024-01-01 12:22:48
asteroid-stopwatch-2.0.0-r0.apk12.1 KiB2023-08-31 09:41:35
py3-simber-0.2.6-r4.apk12.1 KiB2024-08-08 18:20:05
enjoy-0.3-r1.apk12.1 KiB2023-02-03 01:42:38
jdebp-redo-doc-1.4-r1.apk12.1 KiB2022-06-11 22:08:46
vim-airline-doc-0.11-r0.apk12.1 KiB2021-05-04 10:16:51
ssss-0.5.7-r0.apk12.1 KiB2021-10-29 06:42:26
trafficserver9-plugin-authproxy-9.2.4-r0.apk12.1 KiB2024-04-05 13:43:12
php84-tokenizer-8.4.0_alpha4-r0.apk12.1 KiB2024-08-01 12:09:14
lomiri-history-service-dev-0.5-r1.apk12.1 KiB2024-06-22 10:57:53
catcodec-1.0.5-r2.apk12.1 KiB2022-05-14 12:21:50
perl-log-message-doc-0.08-r3.apk12.1 KiB2023-07-03 22:52:45
perl-bytes-random-secure-doc-0.29-r0.apk12.2 KiB2024-01-15 20:58:17
ddserver-0_git20200930-r1.apk12.2 KiB2022-10-28 15:20:33
ocaml-bigarray-compat-dev-1.1.0-r2.apk12.2 KiB2024-03-23 20:49:19
tcc-libs-static-0.9.27_git20240117-r0.apk12.2 KiB2024-01-26 06:27:09
belcard-dev-5.3.38-r0.apk12.2 KiB2024-04-15 07:15:08
nlopt-dev-2.7.1-r0.apk12.2 KiB2022-05-06 09:53:56
py3-ovos-tts-plugin-piper-0.0.1-r0.apk12.2 KiB2024-06-08 11:15:06
perl-datetime-format-flexible-doc-0.34-r0.apk12.3 KiB2024-01-14 15:55:33
py3-padacioso-pyc-0.2.1-r0.apk12.3 KiB2024-05-31 06:16:30
perl-uri-db-0.22-r0.apk12.3 KiB2024-04-05 13:00:30
mediastreamer2-plugin-openh264-5.2.0_git20231020-r0.apk12.3 KiB2024-04-15 07:15:34
rgxg-doc-0.1.2-r2.apk12.3 KiB2023-05-15 16:46:20
zsh-history-search-multi-word-0_git20230705-r0.apk12.3 KiB2024-05-10 13:37:15
legume-doc-1.4.2-r4.apk12.4 KiB2024-07-04 08:22:32
uclient-20210514-r0.apk12.4 KiB2022-06-09 01:00:44
rustic-fish-completion-0.6.1-r1.apk12.4 KiB2024-01-08 09:43:00
lottieconverter-0.2_git20231219-r0.apk12.4 KiB2023-12-19 22:23:33
perl-daemon-control-0.001010-r2.apk12.4 KiB2023-07-03 22:52:45
py3-aiohttp-jinja2-1.6-r2.apk12.4 KiB2024-04-15 21:03:17
perl-anyevent-riperedis-0.48-r0.apk12.4 KiB2024-01-15 14:28:03
perl-xml-feed-doc-0.65-r0.apk12.4 KiB2024-07-08 13:25:53
asteroid-timer-2.0.0-r0.apk12.4 KiB2023-08-31 09:41:35
py3-rfc-bibtex-pyc-0.3.2-r7.apk12.4 KiB2024-08-06 13:00:11
py3-queuelib-1.6.2-r4.apk12.5 KiB2024-04-15 21:03:25
py3-itemloaders-1.3.1-r0.apk12.5 KiB2024-06-03 20:41:13
xosview-doc-1.24-r0.apk12.5 KiB2024-03-25 15:44:52
py3-sphinxcontrib-doxylink-1.12.2-r2.apk12.5 KiB2024-04-15 21:03:26
py3-cobs-pyc-1.2.0-r3.apk12.5 KiB2024-04-15 21:03:18
perl-barcode-zbar-doc-0.10-r3.apk12.6 KiB2024-06-10 06:08:21
powersupply-0.9.0-r0.apk12.6 KiB2024-01-08 09:43:00
py3-libiio-0.25-r2.apk12.6 KiB2024-07-29 15:42:16
nvimpager-0.12.0-r0.apk12.6 KiB2023-07-02 22:03:37
perl-list-binarysearch-xs-0.09-r1.apk12.6 KiB2024-06-10 06:08:21
geonames-doc-0.3.1-r2.apk12.6 KiB2024-06-22 10:57:53
perl-json-path-doc-1.0.6-r0.apk12.6 KiB2024-04-27 08:33:13
clatd-1.6-r0.apk12.6 KiB2023-04-17 23:02:11
rtptools-doc-1.22-r2.apk12.6 KiB2022-10-28 15:21:40
tpp-bypass-0.8.4-r0.apk12.6 KiB2022-10-13 03:21:59
py3-venusian-pyc-3.1.0-r2.apk12.6 KiB2024-08-05 23:33:36
perl-ev-hiredis-0.07-r1.apk12.6 KiB2024-06-10 06:08:21
rlottie-doc-0.2_git20230831-r0.apk12.6 KiB2023-12-18 14:55:10
py3-crc16-0.1.1-r10.apk12.7 KiB2024-04-15 21:03:18
kubesplit-0.3.3-r1.apk12.7 KiB2024-04-15 21:03:12
py3-hatch-openzim-bootstrap-pyc-0.1.0-r0.apk12.7 KiB2024-04-18 13:58:37
saait-doc-0.8-r0.apk12.7 KiB2024-06-27 19:12:23
py3-pyscreeze-0.1.29-r2.apk12.7 KiB2024-04-15 21:03:25
py3-itemadapter-pyc-0.8.0-r3.apk12.7 KiB2024-08-07 12:47:03
py3-pygfm-2.0.0-r2.apk12.7 KiB2024-08-07 12:45:00
php81-tideways_xhprof-5.0.4-r1.apk12.7 KiB2022-05-10 20:26:50
termbox-1.1.2-r1.apk12.8 KiB2023-05-15 16:46:26
mcqd-1.0.0-r1.apk12.8 KiB2023-08-01 15:19:35
perl-gtk2-ex-listmodelconcat-11-r4.apk12.8 KiB2023-07-03 22:52:45
wlavu-0_git20201101-r1.apk12.8 KiB2022-10-28 15:21:49
catfish-doc-4.18.0-r2.apk12.8 KiB2024-04-15 21:03:08
py3-flask-cache-0.13.1-r9.apk12.8 KiB2024-04-15 21:03:19
py3-bitstruct-pyc-8.19.0-r1.apk12.8 KiB2024-04-15 21:03:18
glmark2-doc-2023.01-r1.apk12.8 KiB2024-07-12 02:41:37
darts-clone-dev-0_git20181117-r0.apk12.8 KiB2022-01-27 01:59:16
xva-img-1.4.2-r1.apk12.8 KiB2022-08-04 08:49:39
faultstat-0.01.11-r0.apk12.8 KiB2024-01-13 13:44:30
mint-themes-doc-2.1.1-r0.apk12.8 KiB2023-06-16 22:20:24
perl-net-mqtt-1.163170-r0.apk12.8 KiB2024-04-16 17:38:40
py3-incoming-0.3.1-r8.apk12.8 KiB2024-08-06 12:12:22
imapfilter-doc-2.8.2-r0.apk12.8 KiB2023-12-31 19:41:59
utop-emacs-2.9.1-r4.apk12.8 KiB2024-04-04 10:39:21
libcyaml-dev-1.4.1-r2.apk12.9 KiB2024-01-12 00:42:57
py3-rfc-bibtex-0.3.2-r7.apk12.9 KiB2024-08-06 13:00:11
planarity-doc-3.0.2.0-r2.apk12.9 KiB2023-08-01 15:19:51
octoprint-pisupport-pyc-2023.10.10-r0.apk12.9 KiB2024-04-16 00:38:44
perl-email-abstract-doc-3.010-r0.apk12.9 KiB2023-09-03 11:01:37
devil-dev-1.8.0-r0.apk12.9 KiB2023-09-12 10:41:07
fdm-doc-2.2-r0.apk12.9 KiB2023-03-19 05:16:56
php81-pdo_odbc-8.1.29-r0.apk12.9 KiB2024-06-06 20:04:14
lrcalc-2.1-r1.apk12.9 KiB2023-05-15 16:46:14
py3-glob2-pyc-0.7-r6.apk12.9 KiB2024-07-13 16:41:55
perl-mixin-event-dispatch-doc-2.000-r0.apk12.9 KiB2024-01-03 18:23:16
php81-pecl-xhprof-2.3.10-r0.apk12.9 KiB2024-07-11 07:29:25
py3-telegram-text-pyc-0.2.0-r1.apk13.0 KiB2024-04-15 21:03:26
kubesplit-pyc-0.3.3-r1.apk13.0 KiB2024-04-15 21:03:12
py3-pam-pyc-2.0.2-r2.apk13.0 KiB2024-04-15 21:03:25
speedtest-examples-5.2.5-r1.apk13.0 KiB2023-09-04 12:28:25
php81-pdo_dblib-8.1.29-r0.apk13.0 KiB2024-06-06 20:04:14
php84-posix-8.4.0_alpha4-r0.apk13.0 KiB2024-08-01 12:09:14
py3-hatch-openzim-pyc-0.2.0-r0.apk13.0 KiB2024-04-18 13:58:37
makeself-2.5.0-r0.apk13.0 KiB2023-06-16 22:20:20
py3-wifi-0.3.8-r7.apk13.0 KiB2024-08-08 18:20:05
php81-xmlwriter-8.1.29-r0.apk13.0 KiB2024-06-06 20:04:14
py3-riotctrl-0.5.0-r4.apk13.0 KiB2024-08-07 12:33:39
libhwpwm-doc-0.4.4-r0.apk13.0 KiB2023-09-28 18:50:21
py3-keepalive-pyc-0.5-r5.apk13.0 KiB2024-04-15 07:15:34
ip2location-dev-8.6.1-r0.apk13.0 KiB2023-06-16 22:19:56
luksmeta-9-r0.apk13.1 KiB2022-06-17 12:01:14
sigrok-firmware-fx2lafw-bin-0.1.7-r0.apk13.1 KiB2021-02-03 21:33:05
py3-discid-pyc-1.2.0-r6.apk13.1 KiB2024-08-08 18:20:05
py3-wtf-peewee-3.0.5-r2.apk13.1 KiB2024-08-06 12:14:45
libdatrie-libs-0.2.13-r0.apk13.1 KiB2022-01-27 00:31:02
php84-pecl-xhprof-2.3.10-r0.apk13.1 KiB2024-07-11 00:06:26
lpa-gtk-0.1-r1.apk13.1 KiB2024-06-25 14:12:20
ocaml-bigarray-compat-1.1.0-r2.apk13.1 KiB2024-03-23 20:49:19
mp3val-0.1.8-r1.apk13.1 KiB2022-10-14 15:08:53
termbox-static-1.1.2-r1.apk13.2 KiB2023-05-15 16:46:26
py3-pydes-pyc-2.0.1-r5.apk13.2 KiB2024-08-06 12:33:39
fzy-1.0-r3.apk13.2 KiB2022-10-28 15:20:41
apt-dater-lang-1.0.4-r3.apk13.2 KiB2023-04-30 21:30:08
barrier-doc-2.4.0-r1.apk13.2 KiB2022-08-04 21:45:48
py3-pygfm-pyc-2.0.0-r2.apk13.2 KiB2024-08-07 12:45:00
mautrix-signal-doc-0.6.3-r0.apk13.2 KiB2024-07-16 13:16:05
mautrix-discord-doc-0.7.0-r0.apk13.2 KiB2024-07-16 12:32:58
apk-autoupdate-0_git20210421-r0.apk13.2 KiB2022-01-15 22:17:04
mautrix-slack-doc-0_git20230925-r6.apk13.2 KiB2024-07-04 08:22:34
mautrix-gmessages-doc-0.4.3-r0.apk13.2 KiB2024-07-16 12:32:58
mautrix-meta-doc-0.3.2-r0.apk13.2 KiB2024-07-16 12:32:58
ledmon-doc-0.97-r1.apk13.2 KiB2023-12-18 10:40:35
tcmu-runner-rbd-1.6.0-r5.apk13.3 KiB2023-09-01 07:39:56
libecap-1.0.1-r1.apk13.3 KiB2023-05-15 16:46:11
py3-janus-pyc-1.0.0-r3.apk13.3 KiB2024-04-15 14:59:50
logtop-0.7-r0.apk13.3 KiB2024-06-28 05:47:47
php81-pdo_sqlite-8.1.29-r0.apk13.3 KiB2024-06-06 20:04:14
startup-tools-2.0.3-r4.apk13.3 KiB2023-07-02 22:04:07
himitsu-keyring-0.2.0-r0.apk13.3 KiB2022-08-26 20:05:06
py3-asif-0.3.2-r3.apk13.3 KiB2024-08-05 23:33:36
libiio-dev-0.25-r2.apk13.4 KiB2024-07-29 15:42:16
wmctrl-1.07-r1.apk13.4 KiB2022-02-18 14:44:45
mpdcron-doc-0.3-r1.apk13.4 KiB2022-10-28 15:21:06
py3-wg-netns-pyc-2.3.1-r1.apk13.4 KiB2024-04-15 21:03:26
py3-pep8-naming-pyc-0.13.3-r3.apk13.4 KiB2024-08-06 12:15:05
php81-pdo_mysql-8.1.29-r0.apk13.4 KiB2024-06-06 20:04:14
py3-flask-flatpages-pyc-0.8.2-r2.apk13.4 KiB2024-04-15 21:03:19
perl-starman-0.4017-r0.apk13.4 KiB2023-09-14 10:55:18
asteroid-compass-2.0.0-r0.apk13.4 KiB2023-08-31 09:41:34
py3-colored-1.4.4-r2.apk13.5 KiB2024-04-15 21:03:18
fcitx5-qt-lang-5.1.6-r0.apk13.5 KiB2024-05-10 03:34:30
php81-pecl-memprof-3.0.2-r0.apk13.5 KiB2022-01-08 02:49:09
perl-net-jabber-bot-2.1.7-r0.apk13.5 KiB2024-01-12 13:36:01
tldr-python-client-pyc-3.2.0-r2.apk13.5 KiB2024-08-09 22:27:35
py3-iniparse-0.5-r6.apk13.5 KiB2024-04-15 21:03:19
py3-git-versioner-pyc-7.1-r1.apk13.5 KiB2024-04-15 21:03:19
xfd-1.1.4-r0.apk13.5 KiB2022-12-04 09:12:42
tree-sitter-git-commit-0_git20211225-r2.apk13.6 KiB2023-11-15 21:53:38
turn-rs-doc-2.1.3-r0.apk13.6 KiB2024-04-18 14:02:59
py3-unidns-0.0.1-r2.apk13.6 KiB2024-04-15 21:03:26
hullcaster-doc-0.1.0_git20240708-r0.apk13.6 KiB2024-07-12 21:43:02
libmdf-dev-1.0.27-r0.apk13.6 KiB2023-05-27 11:04:32
afetch-doc-2.2.0-r1.apk13.6 KiB2022-10-28 15:20:27
tuptime-5.2.2-r3.apk13.6 KiB2023-07-02 22:04:17
pipeline-doc-1.15.0-r0.apk13.6 KiB2024-05-28 22:45:12
hikari-doc-2.3.3-r6.apk13.6 KiB2024-02-02 21:16:18
py3-telegram-0.18.0-r3.apk13.6 KiB2024-08-09 22:28:41
lsdvd-0.17-r0.apk13.6 KiB2023-01-31 20:08:06
mpdris2-rs-doc-0.2.3-r0.apk13.6 KiB2024-03-05 21:05:53
php84-pdo_dblib-8.4.0_alpha4-r0.apk13.6 KiB2024-08-01 12:09:14
seaweedfs-doc-3.71-r0.apk13.6 KiB2024-07-28 10:31:42
ocaml-mirage-net-4.0.0-r3.apk13.6 KiB2024-03-23 20:49:45
py3-flask-migrate-4.0.5-r1.apk13.6 KiB2024-04-15 21:03:19
php81-xsl-8.1.29-r0.apk13.6 KiB2024-06-06 20:04:14
perl-cpan-changes-0.500004-r0.apk13.7 KiB2024-06-11 12:54:24
py3-jaraco.vcs-pyc-2.2.0-r0.apk13.7 KiB2024-05-13 07:58:29
peg-doc-0.1.18-r1.apk13.7 KiB2022-10-28 15:21:33
libcorkipset-1.1.1-r4.apk13.7 KiB2023-10-30 10:37:02
xcb-util-errors-dev-1.0.1-r0.apk13.7 KiB2024-06-27 05:58:10
wabt-doc-1.0.35-r0.apk13.7 KiB2024-06-29 12:57:46
mcman-doc-0.4.5-r0.apk13.7 KiB2024-07-17 11:00:06
steghide-doc-0.5.1.1-r0.apk13.7 KiB2024-04-15 07:15:36
ocaml-libvirt-doc-0.6.1.7-r0.apk13.7 KiB2024-03-23 20:49:42
py3-wifi-pyc-0.3.8-r7.apk13.7 KiB2024-08-08 18:20:05
py3-us-3.1.1-r2.apk13.7 KiB2024-08-06 12:33:05
gr-satellites-dev-5.5.0-r1.apk13.8 KiB2024-04-29 16:49:12
asteroid-hrm-2.0.0-r0.apk13.8 KiB2023-08-31 09:41:34
aide-doc-0.18.8-r0.apk13.8 KiB2024-05-10 15:20:57
tere-doc-1.5.1-r0.apk13.8 KiB2023-08-26 16:38:49
openslide-tools-3.4.1-r3.apk13.8 KiB2023-08-01 15:19:36
readosm-1.1.0-r2.apk13.8 KiB2021-09-27 22:25:08
perl-net-netmask-2.0002-r2.apk13.8 KiB2024-01-08 09:42:59
py3-uritools-pyc-4.0.2-r2.apk13.8 KiB2024-08-05 23:33:36
certbot-dns-njalla-1.0.2-r3.apk13.8 KiB2024-08-04 21:38:24
slurm-0.4.4-r0.apk13.8 KiB2022-05-02 00:14:37
tmux-resurrect-4.0.0-r0.apk13.9 KiB2022-09-21 08:37:02
perl-xml-feed-0.65-r0.apk13.9 KiB2024-07-08 13:25:53
py3-sortedcollections-pyc-2.1.0-r5.apk13.9 KiB2024-08-08 18:20:05
perl-database-async-engine-postgresql-1.004-r0.apk13.9 KiB2024-01-17 12:12:03
php81-xmlreader-8.1.29-r0.apk13.9 KiB2024-06-06 20:04:14
py3-pprintpp-0.4.0-r1.apk13.9 KiB2024-04-15 21:03:25
perl-cairo-doc-1.109-r4.apk13.9 KiB2024-06-10 06:08:21
py3-halo-pyc-0.0.31-r5.apk13.9 KiB2024-08-06 12:35:50
ocaml-mirage-time-3.0.0-r4.apk13.9 KiB2024-03-23 20:49:45
wshowkeys-1.0-r0.apk14.0 KiB2022-02-20 16:32:30
py3-euclid3-0.01-r7.apk14.0 KiB2024-04-15 21:03:18
tanidvr-dhav2mkv-1.4.1-r1.apk14.0 KiB2022-10-14 15:08:56
sqlar-0_git20180107-r1.apk14.0 KiB2022-10-28 15:21:43
perl-graphql-client-doc-0.605-r0.apk14.0 KiB2024-01-18 23:46:11
perl-syntax-keyword-match-0.15-r0.apk14.0 KiB2024-07-07 12:08:31
perl-snmp-doc-5.0404-r13.apk14.0 KiB2024-06-10 06:08:21
py3-bite-parser-0.2.4-r1.apk14.0 KiB2024-04-15 21:03:18
amdgpu-fan-0.1.0-r5.apk14.0 KiB2024-08-04 21:12:45
py3-flake8-builtins-2.1.0-r3.apk14.0 KiB2024-04-15 21:03:19
qdjango-dev-0.6.2-r1.apk14.1 KiB2024-06-22 10:57:53
gammastep-doc-2.0.9-r3.apk14.1 KiB2024-04-15 21:03:10
cddlib-dev-0.94m-r2.apk14.1 KiB2023-08-01 15:19:02
autotrash-pyc-0.4.6-r1.apk14.1 KiB2024-04-15 07:15:08
py3-spidev-3.6-r1.apk14.1 KiB2024-07-09 13:57:05
subdl-pyc-0_git20230616-r1.apk14.1 KiB2024-04-15 21:03:33
rtmidi-dev-6.0.0-r0.apk14.1 KiB2023-08-07 09:01:50
php81-pcntl-8.1.29-r0.apk14.1 KiB2024-06-06 20:04:14
biometryd-dev-0.3.1-r3.apk14.2 KiB2024-07-14 22:15:39
par-1.53.0-r1.apk14.2 KiB2022-10-28 15:21:33
fcitx5-unikey-lang-5.1.4-r0.apk14.2 KiB2024-05-10 03:34:31
py3-firmata-1.0.3-r10.apk14.2 KiB2024-08-08 18:20:05
mspdebug-doc-0.25-r1.apk14.2 KiB2022-10-28 15:21:06
php84-xmlwriter-8.4.0_alpha4-r0.apk14.2 KiB2024-08-01 12:09:14
py3-typing_inspect-pyc-0.9.0-r2.apk14.2 KiB2024-04-15 21:03:26
yamkix-0.10.0-r1.apk14.2 KiB2024-04-15 21:03:33
py3-pyscreeze-pyc-0.1.29-r2.apk14.2 KiB2024-04-15 21:03:25
dasht-2.4.0-r0.apk14.2 KiB2023-12-24 03:12:46
watchdog-doc-5.16-r1.apk14.2 KiB2023-03-16 01:58:37
py3-click-completion-pyc-0.5.2-r1.apk14.2 KiB2024-04-15 21:03:18
dnsfunnel-0.0.1.6-r0.apk14.3 KiB2023-11-06 21:49:30
py3-sphinx-argparse-0.4.0-r3.apk14.3 KiB2024-04-15 21:03:26
py3-downloader-cli-pyc-0.3.4-r1.apk14.3 KiB2024-04-15 21:03:18
py3-dataclasses-serialization-pyc-1.3.1-r3.apk14.3 KiB2024-04-15 21:03:18
ideviceinstaller-1.1.1-r3.apk14.3 KiB2023-05-15 02:50:47
gmid-doc-2.0.5-r0.apk14.3 KiB2024-06-11 20:55:53
php84-calendar-8.4.0_alpha4-r0.apk14.3 KiB2024-08-01 12:09:13
xed-dev-3.4.5-r0.apk14.3 KiB2024-01-08 09:43:38
perl-bytes-random-secure-0.29-r0.apk14.3 KiB2024-01-15 20:58:17
py3-urlobject-2.4.3-r8.apk14.3 KiB2024-04-15 21:03:26
mm-doc-1.4.2-r1.apk14.3 KiB2017-03-20 16:29:25
trafficserver9-plugin-xdebug-9.2.4-r0.apk14.3 KiB2024-04-05 13:43:12
sqawk-0.24.0-r0.apk14.3 KiB2024-05-24 16:25:54
mdnsd-doc-0.12-r1.apk14.4 KiB2023-05-15 16:46:14
php81-calendar-8.1.29-r0.apk14.4 KiB2024-06-06 20:04:14
emacs-closql-1.2.1_git20240712-r0.apk14.4 KiB2024-07-29 17:02:17
logtop-libs-0.7-r0.apk14.4 KiB2024-06-28 05:47:47
listenbrainz-mpd-doc-2.3.7-r0.apk14.4 KiB2024-06-05 17:57:46
qt-wayland-shell-helpers-0.1.1-r3.apk14.4 KiB2023-04-24 13:20:49
mangr0ve-doc-0.1.2-r0.apk14.4 KiB2024-01-29 02:19:45
py3-editdistance-s-1.0.0-r6.apk14.5 KiB2024-08-05 23:33:36
b2sum-20190729-r2.apk14.5 KiB2022-10-28 15:20:31
php84-pdo_odbc-8.4.0_alpha4-r0.apk14.6 KiB2024-08-01 12:09:14
trafficserver9-plugin-regexremap-9.2.4-r0.apk14.6 KiB2024-04-05 13:43:12
manticore-doc-6.2.12-r1.apk14.6 KiB2024-05-24 02:46:40
hexdiff-0.0.53-r2.apk14.6 KiB2022-10-28 15:20:42
nodejs18-doc-18.19.1-r0.apk14.6 KiB2024-03-14 17:21:30
perl-test-files-doc-0.26-r0.apk14.6 KiB2024-03-09 06:50:11
hyfetch-doc-1.4.11-r1.apk14.6 KiB2024-06-29 08:55:18
php84-xsl-8.4.0_alpha4-r0.apk14.6 KiB2024-08-01 12:09:14
perl-test-modern-0.013-r3.apk14.6 KiB2023-07-03 22:52:46
emacs-fossil-0_git20230504-r0.apk14.6 KiB2024-05-05 06:26:57
rgxg-0.1.2-r2.apk14.6 KiB2023-05-15 16:46:20
py3-venusian-3.1.0-r2.apk14.6 KiB2024-08-05 23:33:36
py3-django-compress-staticfiles-1.0.1_beta0-r6.apk14.7 KiB2024-08-08 18:20:21
asteroid-music-2.0.0-r0.apk14.7 KiB2023-08-31 09:41:35
py3-fuzzywuzzy-pyc-0.18.0-r7.apk14.7 KiB2024-08-06 12:35:15
xcompmgr-1.1.9-r0.apk14.7 KiB2022-11-12 20:48:28
aprilsh-doc-0.7.12-r0.apk14.7 KiB2024-07-23 09:12:19
dnote-doc-0.15.1-r0.apk14.7 KiB2024-07-05 19:44:28
tractor-pyc-4.5.1-r0.apk14.7 KiB2024-07-14 17:29:47
qmk-cli-1.1.5-r1.apk14.7 KiB2024-04-15 21:03:27
py3-proxmoxer-2.0.1-r3.apk14.7 KiB2024-04-15 21:03:25
git-secret-0.5.0-r0.apk14.8 KiB2022-10-28 20:14:36
py3-itunespy-pyc-1.6-r4.apk14.8 KiB2024-08-05 23:33:36
lrzsz-doc-0.12.20-r2.apk14.8 KiB2022-10-28 15:21:02
cmusfm-0.5.0-r0.apk14.8 KiB2023-07-21 21:13:18
py3-pockethernet-0.7.0-r4.apk14.8 KiB2024-08-07 13:40:42
kodi-game-libretro-beetle-lynx-1.24.0.50-r0.apk14.8 KiB2023-07-02 22:03:17
libhx-dev-4.21-r0.apk14.8 KiB2023-12-23 12:59:02
perl-dancer-plugin-auth-extensible-doc-1.00-r5.apk14.8 KiB2023-07-03 22:52:45
commoncpp-doc-7.0.1-r1.apk14.8 KiB2022-08-04 08:46:49
geomyidae-0.34-r2.apk14.8 KiB2022-10-28 15:20:41
perl-number-tolerant-1.710-r0.apk14.8 KiB2023-08-10 11:42:56
py3-pybars3-0.9.7-r6.apk14.8 KiB2024-08-06 12:12:22
mpdris2-doc-0.9.1-r3.apk14.9 KiB2022-07-27 23:53:27
rinetd-0.73-r0.apk14.9 KiB2023-12-09 22:48:17
sopwith-doc-2.5.0-r0.apk14.9 KiB2024-05-05 20:23:37
belr-dev-5.3.38-r0.apk14.9 KiB2024-04-15 07:15:09
py3-django-compress-staticfiles-pyc-1.0.1_beta0-r6.apk14.9 KiB2024-08-08 18:20:21
perl-extutils-builder-0.011-r0.apk14.9 KiB2024-08-05 02:57:39
nkk-0_git20221010-r0.apk14.9 KiB2023-02-23 19:39:40
py3-us-pyc-3.1.1-r2.apk15.0 KiB2024-08-06 12:33:05
libbraiding-dev-1.2-r2.apk15.0 KiB2023-08-01 15:19:29
py3-aiosasl-0.5.0-r3.apk15.0 KiB2024-04-15 21:03:17
py3-pygtail-0.14.0-r3.apk15.0 KiB2024-04-15 21:03:25
vile-doc-9.8z-r0.apk15.0 KiB2024-01-26 02:25:45
ocaml-randomconv-0.1.3-r2.apk15.0 KiB2024-03-23 20:49:56
time-doc-1.9-r1.apk15.0 KiB2022-10-28 15:21:44
aptdec-libs-1.8.0-r0.apk15.0 KiB2023-02-22 12:07:23
py3-sphinxcontrib-htsql-pyc-0.1.5-r8.apk15.0 KiB2024-04-15 21:03:26
tpm2-tools-bash-completion-5.6-r1.apk15.0 KiB2024-07-12 02:41:37
perl-glib-ex-objectbits-17-r0.apk15.0 KiB2024-05-20 07:17:21
tree-sitter-just-0_git20230318-r0.apk15.1 KiB2023-12-23 12:59:10
perl-datetime-timezone-catalog-extend-doc-0.3.1-r0.apk15.1 KiB2024-02-24 12:59:03
perl-getopt-long-descriptive-0.114-r0.apk15.1 KiB2024-01-27 21:40:33
py3-sphinxcontrib-inheritance-pyc-0.9.0-r9.apk15.1 KiB2024-04-15 21:03:26
py3-aiohttp-session-pyc-2.12.0-r3.apk15.1 KiB2024-04-15 21:03:17
ocaml-hkdf-1.0.4-r2.apk15.1 KiB2024-03-23 20:49:35
py3-snapshottest-0.6.0-r5.apk15.1 KiB2024-08-06 12:26:18
opensmtpd-filter-dkimsign-0.6-r1.apk15.2 KiB2022-08-04 21:46:06
mpdris2-0.9.1-r3.apk15.2 KiB2022-07-27 23:53:27
py3-intervals-pyc-0.9.2-r4.apk15.2 KiB2024-04-15 21:03:19
php84-pdo_mysql-8.4.0_alpha4-r0.apk15.2 KiB2024-08-01 12:09:14
fatresize-doc-1.1.0-r1.apk15.2 KiB2022-10-28 15:20:35
kerberoast-pyc-0.2.0-r1.apk15.2 KiB2024-04-15 21:03:12
tinycbor-0.6.0-r1.apk15.2 KiB2022-11-18 01:56:59
bwrap-oci-0.2-r1.apk15.2 KiB2022-10-28 15:20:31
perl-number-format-1.76-r1.apk15.2 KiB2023-07-03 22:52:45
xa-doc-2.3.14-r0.apk15.3 KiB2023-03-18 21:44:41
moderncli-dev-0.8.1-r0.apk15.3 KiB2024-02-13 21:03:33
perl-dns-unbound-doc-0.29-r1.apk15.3 KiB2024-06-10 06:08:21
libhomfly-1.02_p6-r1.apk15.3 KiB2024-03-30 06:39:00
perl-dancer-plugin-auth-extensible-1.00-r5.apk15.3 KiB2023-07-03 22:52:45
blip-0.10-r0.apk15.3 KiB2019-06-14 17:30:36
hx-1.0.15-r0.apk15.3 KiB2024-08-03 11:14:15
py3-sphinxcontrib-spelling-8.0.0-r3.apk15.3 KiB2024-04-15 21:03:26
cm256cc-dev-1.1.1-r0.apk15.4 KiB2024-04-26 15:07:13
virter-doc-0.27.0-r3.apk15.4 KiB2024-07-04 08:22:42
ocaml-seq-0.3.1-r2.apk15.4 KiB2024-03-23 20:50:05
py3-flake8-import-order-0.18.2-r4.apk15.4 KiB2024-04-15 21:03:19
py3-teletype-1.3.4-r3.apk15.4 KiB2024-04-15 21:03:26
kodi-game-libretro-beetle-bsnes-0.9.26.27-r0.apk15.4 KiB2023-07-02 22:03:17
perl-test-roo-doc-1.004-r3.apk15.5 KiB2023-07-03 22:52:46
py3-googletrans-3.0.0-r5.apk15.5 KiB2024-08-07 12:30:59
py3-rabbit-pyc-1.1.0-r8.apk15.5 KiB2024-08-06 12:26:56
zarchive-0.1.2-r2.apk15.5 KiB2023-08-07 20:56:34
emacs-hackernews-0.7.0-r0.apk15.5 KiB2024-04-02 09:39:09
passes-lang-0.10-r0.apk15.5 KiB2024-07-07 13:49:18
libsimpleble-c-0.6.1-r1.apk15.6 KiB2023-07-29 22:01:16
py3-zope-i18nmessageid-6.1.0-r1.apk15.6 KiB2024-04-15 21:03:27
py3-lib_users-0.15-r4.apk15.6 KiB2024-08-07 12:30:59
xiccd-0.3.0_git20211219-r1.apk15.6 KiB2022-06-16 18:09:48
m2r2-pyc-0.3.3-r2.apk15.6 KiB2024-04-15 21:03:15
gtk4-layer-shell-1.0.2-r0.apk15.6 KiB2023-11-12 12:21:05
php81-pecl-mcrypt-1.0.7-r0.apk15.7 KiB2024-04-11 00:39:23
perl-future-http-doc-0.17-r0.apk15.7 KiB2024-04-15 07:15:34
libcorkipset-tools-1.1.1-r4.apk15.7 KiB2023-10-30 10:37:02
xkb-switch-1.8.5-r0.apk15.7 KiB2021-12-11 17:53:52
epr-2.4.15-r1.apk15.7 KiB2024-04-15 21:03:10
blackbox-1.20220610-r0.apk15.7 KiB2022-12-22 18:14:39
libvisio2svg-0.5.5-r3.apk15.7 KiB2023-04-30 21:30:24
perl-archive-extract-0.88-r1.apk15.8 KiB2023-07-03 22:52:45
perl-net-pcap-doc-0.21-r1.apk15.8 KiB2024-06-10 06:08:21
perl-indirect-0.39-r1.apk15.8 KiB2024-06-10 06:08:21
zfsbootmenu-doc-2.3.0-r1.apk15.8 KiB2024-05-07 22:00:00
ocaml-fileutils-doc-0.6.4-r2.apk15.8 KiB2024-03-23 20:49:33
ampy-1.1.0-r5.apk15.8 KiB2024-04-15 07:15:08
py3-parver-0.5-r1.apk15.8 KiB2024-04-15 14:26:21
sacc-1.07-r0.apk15.8 KiB2023-11-06 17:37:59
zile-doc-2.6.2-r0.apk15.8 KiB2022-09-20 00:18:08
please-doc-0.5.5-r0.apk15.8 KiB2024-07-02 03:25:19
php84-xmlreader-8.4.0_alpha4-r0.apk15.8 KiB2024-08-01 12:09:14
visidata-doc-2.11.1-r2.apk15.9 KiB2024-04-15 21:03:33
perl-xml-atom-doc-0.43-r0.apk15.9 KiB2024-01-14 15:55:33
dvdbackup-0.4.2-r1.apk15.9 KiB2022-10-14 15:08:44
py3-rpio-pyc-0.10.1-r8.apk15.9 KiB2024-08-05 23:33:36
py3-testresources-pyc-2.0.1-r6.apk16.0 KiB2024-07-05 15:36:27
py3-recurring-ical-events-pyc-2.2.1-r1.apk16.0 KiB2024-04-15 21:03:25
perl-mojo-sqlite-3.009-r0.apk16.0 KiB2024-01-12 13:36:01
coccinelle-doc-1.1.1-r2.apk16.0 KiB2024-04-18 11:11:28
aravis-viewer-lang-0.8.31-r0.apk16.0 KiB2024-03-16 17:38:26
asteroid-calculator-2.0.0-r0.apk16.0 KiB2023-08-31 09:41:34
hyx-2021.06.09-r0.apk16.0 KiB2023-01-17 18:52:43
wok-lang-3.0.0-r6.apk16.0 KiB2024-04-15 21:03:33
kodi-game-libretro-theodore-0.0.1.32-r0.apk16.0 KiB2023-07-02 22:03:17
zsh-fzf-tab-0_git20220331-r1.apk16.0 KiB2024-06-18 14:37:21
pfetch-0.6.0-r1.apk16.1 KiB2022-10-28 15:21:33
fatback-doc-1.3-r2.apk16.1 KiB2022-10-28 15:20:35
wlclock-1.0.1-r0.apk16.1 KiB2021-12-31 00:27:04
plzip-doc-1.11-r0.apk16.1 KiB2024-01-25 15:53:14
ubuntu-archive-keyring-2023.11.28.1-r0.apk16.2 KiB2023-11-29 08:20:53
sandbar-0.1-r0.apk16.2 KiB2023-07-02 22:03:59
perl-json-path-1.0.6-r0.apk16.2 KiB2024-04-27 08:33:13
belcard-5.3.38-r0.apk16.2 KiB2024-04-15 07:15:08
pegasus-frontend-doc-16_alpha-r0.apk16.2 KiB2024-06-23 05:04:07
py3-u-msgpack-pyc-2.8.0-r2.apk16.2 KiB2024-08-05 23:33:36
py3-ciso8601-2.3.1-r1.apk16.2 KiB2024-04-15 21:03:18
libimobiledevice-glue-1.0.0-r1.apk16.3 KiB2023-07-29 22:01:16
py3-pprintpp-pyc-0.4.0-r1.apk16.3 KiB2024-04-15 21:03:25
trafficserver9-plugin-certifier-9.2.4-r0.apk16.3 KiB2024-04-05 13:43:12
php81-bcmath-8.1.29-r0.apk16.3 KiB2024-06-06 20:04:14
py3-barcodenumber-0.2.1-r10.apk16.3 KiB2024-04-15 21:03:18
py3-businesstime-pyc-0.3.0-r9.apk16.3 KiB2024-04-15 21:03:18
perl-git-repository-1.325-r0.apk16.3 KiB2024-02-05 16:09:43
usbguard-doc-1.1.3-r1.apk16.3 KiB2024-07-14 22:15:40
linuxptp-timemaster-4.3-r0.apk16.4 KiB2024-06-20 02:04:59
py3-simber-pyc-0.2.6-r4.apk16.4 KiB2024-08-08 18:20:05
kodi-game-libretro-beetle-vb-1.31.0.34-r0.apk16.4 KiB2023-07-02 22:03:17
trafficserver9-plugin-backgroundfetch-9.2.4-r0.apk16.4 KiB2024-04-05 13:43:12
xmppipe-0.16.0-r1.apk16.4 KiB2024-02-01 21:08:23
rinetd-doc-0.73-r0.apk16.4 KiB2023-12-09 22:48:17
gpscorrelate-lang-2.0_git20230605-r0.apk16.5 KiB2023-06-16 22:19:46
py3-flask-mailman-1.1.1-r0.apk16.5 KiB2024-07-07 05:17:16
py3-sphinxcontrib-programoutput-0.17-r5.apk16.5 KiB2024-08-07 14:24:43
py3-sphinxcontrib-doxylink-pyc-1.12.2-r2.apk16.5 KiB2024-04-15 21:03:26
py3-aiosasl-doc-0.5.0-r3.apk16.5 KiB2024-04-15 21:03:17
py3-imageio-ffmpeg-0.4.9-r1.apk16.5 KiB2024-07-09 11:43:51
wf-config-dev-0.8.0-r0.apk16.5 KiB2023-11-25 23:42:02
php83-pecl-vld-0.18.0-r1.apk16.5 KiB2024-02-02 23:57:14
perl-mojo-pg-4.27-r0.apk16.5 KiB2024-01-17 12:12:52
py3-vdf-pyc-3.4-r1.apk16.6 KiB2024-04-15 21:03:26
logc-argp-0.5.0-r0.apk16.6 KiB2023-11-18 17:32:24
py3-pymaging-0.0.20130908-r9.apk16.6 KiB2024-04-15 21:03:25
py3-pylru-1.2.1-r1.apk16.6 KiB2024-04-15 21:03:25
startup-lang-2.0.3-r4.apk16.6 KiB2023-07-02 22:04:07
mdp-1.0.15-r1.apk16.6 KiB2022-10-28 15:21:05
php82-pecl-vld-0.18.0-r0.apk16.6 KiB2022-09-16 11:34:06
paperkey-1.6-r2.apk16.6 KiB2023-12-19 14:55:59
py3-itemloaders-pyc-1.3.1-r0.apk16.6 KiB2024-06-03 20:41:13
py3-funcparserlib-1.0.1-r4.apk16.6 KiB2024-04-15 21:03:19
xfce4-diskperf-plugin-2.7.0-r0.apk16.6 KiB2023-08-03 04:58:37
fnf-0.1-r0.apk16.6 KiB2024-03-09 07:45:08
py3-wsgiprox-1.5.2-r1.apk16.7 KiB2024-07-11 05:11:50
gsimplecal-2.5.1-r0.apk16.7 KiB2024-02-10 01:12:40
junit2html-31.0.2-r0.apk16.7 KiB2024-08-03 11:08:50
kodi-game-libretro-beetle-ngp-1.29.0.35-r0.apk16.7 KiB2023-07-02 22:03:17
py3-pymeta3-0.5.1-r6.apk16.7 KiB2024-08-08 18:20:05
perl-getopt-tabular-doc-0.3-r4.apk16.7 KiB2023-07-03 22:52:45
ocaml-trie-1.0.0-r2.apk16.7 KiB2024-03-23 20:50:06
tang-14-r0.apk16.8 KiB2023-07-23 14:03:19
perl-adapter-async-doc-0.019-r0.apk16.8 KiB2024-01-17 12:12:03
py3-flake8-import-order-pyc-0.18.2-r4.apk16.8 KiB2024-04-15 21:03:19
perl-protocol-xmpp-0.006-r0.apk16.8 KiB2024-01-16 19:43:26
py3-sphinxcontrib-phpdomain-pyc-0.11.2-r1.apk16.8 KiB2024-04-15 21:03:26
py3-pybars3-pyc-0.9.7-r6.apk16.8 KiB2024-08-06 12:12:22
bcnm-dev-0.0.1.7-r0.apk16.8 KiB2023-11-06 21:49:30
py3-truststore-0.9.1-r0.apk16.9 KiB2024-06-03 20:42:26
gammastep-pyc-2.0.9-r3.apk16.9 KiB2024-04-15 21:03:10
dfl-login1-0.2.0-r0.apk16.9 KiB2023-12-30 13:27:00
hping3-doc-20051105-r4.apk16.9 KiB2017-07-13 14:26:53
xmag-1.0.7-r1.apk16.9 KiB2023-08-01 15:20:08
php84-bcmath-8.4.0_alpha4-r0.apk16.9 KiB2024-08-01 12:09:13
py3-dpath-2.1.6-r2.apk16.9 KiB2024-08-05 23:33:36
py3-colored-pyc-1.4.4-r2.apk17.0 KiB2024-04-15 21:03:18
ocp-indent-doc-1.8.2-r2.apk17.0 KiB2024-03-23 20:50:17
mkg3a-0.5.0-r1.apk17.0 KiB2022-10-28 15:21:06
xvidtune-1.0.4-r0.apk17.0 KiB2023-02-05 00:37:47
dislocker-0.7.3-r5.apk17.0 KiB2024-04-15 07:15:09
stgit-bash-completion-2.4.7-r1.apk17.0 KiB2024-06-07 22:45:46
bcnm-0.0.1.7-r0.apk17.0 KiB2023-11-06 21:49:30
tkts-2.0-r0.apk17.1 KiB2023-12-02 12:05:52
git-secret-doc-0.5.0-r0.apk17.1 KiB2022-10-28 20:14:36
diceware-pyc-0.10-r1.apk17.1 KiB2024-04-15 21:03:10
py3-svgpath-6.3-r3.apk17.1 KiB2024-06-15 05:12:36
py3-discogs-client-2.7-r3.apk17.1 KiB2024-08-07 12:30:59
py3-bencode-4.0.0-r1.apk17.1 KiB2024-04-15 21:03:18
pam_mount-doc-2.20-r1.apk17.1 KiB2024-07-25 16:36:55
py3-libacl-0.7.0-r1.apk17.1 KiB2024-04-15 21:03:20
py3-sphinxcontrib-git-11.0.0-r7.apk17.1 KiB2024-04-15 21:03:26
carburetor-pyc-4.5.1-r0.apk17.2 KiB2024-07-14 17:29:47
spvm-mime-base64-1.001003-r1.apk17.2 KiB2024-06-10 06:08:21
py3-agithub-2.2.2-r5.apk17.2 KiB2024-04-15 21:03:17
py3-orderedmultidict-pyc-1.0.1-r7.apk17.2 KiB2024-08-06 07:41:03
twemproxy-doc-0.5.0-r0.apk17.2 KiB2022-04-30 08:20:34
py3-preggy-1.4.4-r5.apk17.2 KiB2024-08-06 12:36:04
arc-metacity-20221218-r0.apk17.2 KiB2023-01-07 13:34:26
py3-actdiag-3.0.0-r5.apk17.3 KiB2024-08-06 12:04:22
snapraid-doc-12.3-r0.apk17.3 KiB2024-01-26 02:24:56
arc-lighter-metacity-20221218-r0.apk17.3 KiB2023-01-07 13:34:26
emacs-taxy-magit-section-0.13-r0.apk17.3 KiB2024-04-02 09:39:10
php81-iconv-8.1.29-r0.apk17.3 KiB2024-06-06 20:04:14
py3-lzo-1.16-r1.apk17.3 KiB2024-04-15 21:03:24
py3-testresources-2.0.1-r6.apk17.3 KiB2024-07-05 15:36:27
repo-2.46-r0.apk17.4 KiB2024-07-04 19:07:31
dropwatch-1.5.4-r4.apk17.4 KiB2024-03-01 15:16:17
py3-kerberos-1.3.1-r5.apk17.4 KiB2024-07-26 02:52:35
py3-tls_parser-pyc-2.0.1-r1.apk17.4 KiB2024-04-15 21:03:26
py3-googletrans-pyc-3.0.0-r5.apk17.4 KiB2024-08-07 12:30:59
gtklock-2.1.0-r0.apk17.4 KiB2023-07-18 20:21:47
libfort-dev-0.4.2-r0.apk17.4 KiB2021-10-03 06:14:34
cvs-fast-export-doc-1.65-r0.apk17.4 KiB2024-02-17 04:37:08
git-bug-doc-0.8.0-r14.apk17.5 KiB2024-07-04 08:22:26
arc-dark-metacity-20221218-r0.apk17.5 KiB2023-01-07 13:34:26
boson-0_git20211219-r0.apk17.5 KiB2022-07-10 18:36:28
php84-pdo_sqlite-8.4.0_alpha4-r0.apk17.5 KiB2024-08-01 12:09:14
arc-darker-metacity-20221218-r0.apk17.5 KiB2023-01-07 13:34:26
hexedit-1.6_git20230905-r0.apk17.5 KiB2024-04-04 14:57:48
py3-soapy_power-1.6.1-r5.apk17.5 KiB2024-08-07 12:29:32
kodi-game-libretro-beetle-wswan-0.9.35.41-r0.apk17.5 KiB2023-07-02 22:03:17
py3-recommonmark-pyc-0.7.1-r4.apk17.5 KiB2024-08-05 23:33:36
libecap-static-1.0.1-r1.apk17.5 KiB2023-05-15 16:46:11
openscap-daemon-doc-0.1.10-r9.apk17.5 KiB2024-04-15 21:03:17
libeantic-dev-2.0.2-r1.apk17.6 KiB2024-04-22 17:58:03
py3-pyrebase-pyc-3.0.27-r5.apk17.6 KiB2024-08-06 12:14:32
hiawatha-letsencrypt-11.6-r0.apk17.6 KiB2024-06-15 07:33:45
mobroute-doc-0.6.0-r0.apk17.6 KiB2024-07-07 05:48:48
lua5.1-linenoise-0.9-r1.apk17.6 KiB2021-01-18 12:18:48
spvm-errno-0.092-r1.apk17.6 KiB2024-06-10 06:08:21
lua5.4-linenoise-0.9-r1.apk17.6 KiB2021-01-18 12:18:48
lua5.2-linenoise-0.9-r1.apk17.6 KiB2021-01-18 12:18:48
lua5.3-linenoise-0.9-r1.apk17.6 KiB2021-01-18 12:18:48
asteroid-diamonds-2.0.0-r0.apk17.6 KiB2023-08-31 09:41:34
rizin-doc-0.6.3-r1.apk17.6 KiB2024-07-13 05:52:43
py3-ansi2html-1.9.1-r1.apk17.7 KiB2024-04-15 21:03:17
perl-xml-stream-doc-1.24-r0.apk17.7 KiB2024-01-03 19:16:40
octoprint-firmwarecheck-pyc-2021.10.11-r1.apk17.7 KiB2024-04-16 00:38:44
perl-html-tableextract-2.15-r4.apk17.7 KiB2023-07-03 22:52:45
lomiri-download-manager-dev-0.1.3-r3.apk17.7 KiB2024-06-26 03:46:51
surfraw-doc-2.3.0-r0.apk17.7 KiB2023-08-01 20:41:23
atool-0.39.0-r4.apk17.7 KiB2022-10-28 15:20:31
racksdb-doc-0.4.0-r0.apk17.7 KiB2024-05-23 09:38:13
php84-iconv-8.4.0_alpha4-r0.apk17.7 KiB2024-08-01 12:09:14
xsecurelock-doc-1.9.0-r1.apk17.8 KiB2024-04-11 23:37:36
pacparser-doc-1.4.5-r0.apk17.8 KiB2024-06-30 20:03:14
newsyslog-1.2.0.91-r1.apk17.8 KiB2023-06-16 22:20:37
siril-doc-1.2.3-r1.apk17.8 KiB2024-07-15 14:09:07
freealut-1.1.0-r1.apk17.8 KiB2022-07-26 02:59:07
wayqt-dev-0.2.0-r0.apk17.8 KiB2023-12-30 13:27:00
rmlint-doc-2.10.2-r1.apk17.8 KiB2024-04-15 21:03:27
py3-dpath-pyc-2.1.6-r2.apk17.8 KiB2024-08-05 23:33:36
libiio-doc-0.25-r2.apk17.9 KiB2024-07-29 15:42:16
py3-blockchain-pyc-1.4.4-r7.apk17.9 KiB2024-08-07 12:30:59
licenseheaders-0.8.8-r4.apk17.9 KiB2024-08-04 21:39:35
py3-sphinxcontrib-cartouche-1.1.2-r7.apk17.9 KiB2024-04-15 21:03:26
zsh-history-search-multi-word-doc-0_git20230705-r0.apk17.9 KiB2024-05-10 13:37:15
emacs-emacsql-sqlite-3.1.1_git20240714-r0.apk17.9 KiB2024-07-29 17:02:17
perl-extutils-builder-compiler-0.020-r0.apk18.0 KiB2024-08-10 17:23:17
py3-cython-test-exception-raiser-1.0.2-r0.apk18.0 KiB2024-05-11 00:35:09
hatop-0.8.2-r0.apk18.0 KiB2022-08-01 17:46:12
mstflint-doc-4.26.0.1-r0.apk18.0 KiB2024-01-21 15:22:52
circuslinux-doc-1.0.3-r1.apk18.0 KiB2021-12-05 01:04:24
php84-pcntl-8.4.0_alpha4-r0.apk18.0 KiB2024-08-01 12:09:14
anarch-doc-1.0-r1.apk18.0 KiB2022-08-20 14:26:37
ocaml-randomconv-dev-0.1.3-r2.apk18.0 KiB2024-03-23 20:49:56
perl-opentracing-1.006-r0.apk18.0 KiB2024-01-15 20:58:17
py3-feedgenerator-2.1.0-r2.apk18.0 KiB2024-08-06 12:18:19
libideviceactivation-1.1.1-r4.apk18.0 KiB2023-05-15 02:50:47
mobpass-0.2-r6.apk18.0 KiB2024-08-04 21:37:43
py3-sphinxcontrib-httpdomain-1.8.1-r3.apk18.1 KiB2024-04-15 21:03:26
py3-findpython-0.6.1-r0.apk18.1 KiB2024-04-25 23:13:22
emacs-sqlite3-api-0.18-r0.apk18.1 KiB2024-07-29 17:02:17
persistent-cache-cpp-dev-1.0.7-r2.apk18.1 KiB2024-06-22 10:57:53
py3-flake8-isort-6.1.1-r1.apk18.2 KiB2024-04-15 21:03:19
nvim-treesitter-doc-0.0.0_git20221013-r1.apk18.2 KiB2024-06-18 14:37:20
perl-datetime-format-flexible-0.34-r0.apk18.2 KiB2024-01-14 15:55:33
sthttpd-doc-2.27.1-r2.apk18.2 KiB2022-10-28 15:21:43
py3-flask-migrate-pyc-4.0.5-r1.apk18.2 KiB2024-04-15 21:03:19
perl-cpan-changes-doc-0.500004-r0.apk18.2 KiB2024-06-11 12:54:24
py3-flake8-copyright-0.2.4-r3.apk18.2 KiB2024-04-15 21:03:19
alarmwakeup-dbg-0.2.1-r0.apk18.2 KiB2023-10-06 05:49:06
py3-parse-pyc-1.20.2-r0.apk18.3 KiB2024-06-26 04:36:51
liberasurecode-dev-1.6.3-r1.apk18.3 KiB2023-05-15 16:46:11
py3-flask-qrcode-3.1.0-r4.apk18.3 KiB2024-04-15 21:03:19
py3-flask-cache-pyc-0.13.1-r9.apk18.3 KiB2024-04-15 21:03:19
mdnsd-libs-0.12-r1.apk18.3 KiB2023-05-15 16:46:14
pinephone-compass-0.4.0-r1.apk18.3 KiB2023-12-17 02:20:00
py3-schema-pyc-0.7.5-r4.apk18.3 KiB2024-08-05 23:33:36
ldapdomaindump-0.9.4-r1.apk18.3 KiB2024-04-15 21:03:12
py3-aioxmpp-doc-0.13.3-r3.apk18.3 KiB2024-08-08 18:20:05
llmnrd-0.7-r1.apk18.3 KiB2022-10-28 15:21:02
wordgrinder-doc-0.8-r2.apk18.4 KiB2024-07-02 18:29:13
libtinycbor-0.6.0-r1.apk18.4 KiB2022-11-18 01:56:43
cowsay-3.04-r2.apk18.4 KiB2022-10-28 15:20:32
speedtest-doc-5.2.5-r1.apk18.4 KiB2023-09-04 12:28:25
licenseheaders-pyc-0.8.8-r4.apk18.4 KiB2024-08-04 21:39:35
ocaml-hkdf-dev-1.0.4-r2.apk18.4 KiB2024-03-23 20:49:35
py3-fuzzywuzzy-0.18.0-r7.apk18.4 KiB2024-08-06 12:35:15
ssdfs-tools-dev-4.09-r0.apk18.5 KiB2023-03-16 01:58:22
py3-schema-0.7.5-r4.apk18.5 KiB2024-08-05 23:33:36
adjtimex-1.29-r0.apk18.5 KiB2021-12-31 21:26:52
py3-wbdata-1.0.0-r1.apk18.5 KiB2024-04-15 21:03:26
wlrobs-1.0-r4.apk18.5 KiB2023-01-08 06:13:20
trafficserver9-plugin-multiplexer-9.2.4-r0.apk18.5 KiB2024-04-05 13:43:12
py3-bson-pyc-0.5.10-r6.apk18.5 KiB2024-08-05 23:33:36
apollo-doc-0.2.1-r5.apk18.5 KiB2024-07-04 08:22:19
py3-spin-0.8-r0.apk18.5 KiB2024-04-15 07:15:34
node-libpg-query-13.1.2-r4.apk18.6 KiB2024-04-03 14:16:42
libemf2svg-utils-1.1.0-r2.apk18.6 KiB2022-10-28 15:20:58
powerstat-0.04.01-r0.apk18.6 KiB2024-01-03 12:58:00
py3-vatnumber-1.2-r9.apk18.6 KiB2024-08-07 12:31:12
sysls-2-r3.apk18.6 KiB2024-08-06 12:26:44
py3-funcparserlib-pyc-1.0.1-r4.apk18.7 KiB2024-04-15 21:03:19
roswell-doc-23.10.14.114-r0.apk18.7 KiB2024-07-02 15:18:22
znc-clientbuffer-1.0.48-r7.apk18.7 KiB2023-11-12 12:21:39
py3-gevent-websocket-0.10.1-r7.apk18.7 KiB2024-04-15 21:03:19
libmpfi-doc-1.5.4-r2.apk18.7 KiB2023-08-01 15:19:30
ocaml-pbkdf-1.2.0-r2.apk18.8 KiB2024-03-23 20:49:50
py3-helper-2.5.0-r5.apk18.8 KiB2024-08-07 12:29:32
nvim-lualine-doc-0.0.0_git20221006-r1.apk18.8 KiB2024-06-18 14:37:20
mdnsd-static-0.12-r1.apk18.8 KiB2023-05-15 16:46:14
libopensmtpd-0.7-r0.apk18.8 KiB2022-02-19 01:19:31
bootterm-0.5-r0.apk18.8 KiB2024-05-09 18:30:42
py3-yara-4.5.1-r0.apk18.9 KiB2024-05-30 09:14:48
libserialport-0.1.1-r1.apk18.9 KiB2022-02-06 09:44:19
ocaml-ppx_blob-dev-0.8.0-r0.apk18.9 KiB2024-04-22 06:13:57
perl-autobox-3.0.1-r9.apk18.9 KiB2024-06-10 06:08:21
qrtr-1.0_git20230118-r0.apk18.9 KiB2024-06-26 03:46:51
py3-pathvalidate-3.2.0-r1.apk18.9 KiB2024-04-15 21:03:25
py3-recurring-ical-events-2.2.1-r1.apk18.9 KiB2024-04-15 21:03:25
py3-sphinxcontrib-spelling-pyc-8.0.0-r3.apk18.9 KiB2024-04-15 21:03:26
rmlint-lang-2.10.2-r1.apk18.9 KiB2024-04-15 21:03:27
php81-pecl-yaml-2.2.3-r1.apk18.9 KiB2024-04-11 00:39:23
libhomfly-dev-1.02_p6-r1.apk18.9 KiB2024-03-30 06:39:00
py3-enzyme-pyc-0.5.1-r0.apk18.9 KiB2024-06-26 20:26:40
py3-aiohttp-remotes-pyc-1.2.0-r3.apk18.9 KiB2024-04-15 21:03:17
py3-evohome-client-0.3.7-r4.apk19.0 KiB2024-08-08 18:20:05
dinit-shutdown-0.18.0-r2.apk19.0 KiB2024-06-02 15:35:40
droidcam-2.1.3-r0.apk19.0 KiB2024-04-05 23:22:41
php81-pdo_pgsql-8.1.29-r0.apk19.0 KiB2024-06-06 20:04:14
emacs-svg-lib-0_git20240219-r0.apk19.0 KiB2024-04-02 09:39:10
razercfg-gui-0.42-r6.apk19.0 KiB2024-04-15 21:03:27
moe-doc-1.14-r0.apk19.0 KiB2024-01-21 03:06:09
php84-pecl-yaml-2.2.3-r0.apk19.0 KiB2024-07-05 17:42:19
lua-libmodbus-doc-0.6.1-r0.apk19.1 KiB2020-07-12 10:14:17
php81-pecl-decimal-1.5.0-r1.apk19.1 KiB2024-04-11 00:39:22
py3-aioopenssl-pyc-0.6.0-r4.apk19.1 KiB2024-08-06 12:04:46
libui-dev-4.1_alpha20211213-r0.apk19.1 KiB2021-12-13 20:18:11
ddcci-driver-linux-src-0.4.4-r3.apk19.1 KiB2024-05-17 11:54:56
ocaml-gettext-doc-0.4.2-r3.apk19.2 KiB2024-03-23 20:49:34
perl-protocol-database-postgresql-2.001-r0.apk19.2 KiB2024-01-27 15:48:50
php84-pecl-decimal-1.5.0-r1.apk19.2 KiB2024-07-05 17:42:19
py3-poetry-dynamic-versioning-1.4.0-r0.apk19.2 KiB2024-06-22 10:57:53
xfce4-verve-plugin-2.0.3-r0.apk19.2 KiB2023-08-07 09:01:01
perl-hash-ordered-doc-0.014-r0.apk19.2 KiB2024-01-19 00:18:18
planarity-dev-3.0.2.0-r2.apk19.3 KiB2023-08-01 15:19:51
php81-pecl-psr-1.2.0-r0.apk19.3 KiB2024-04-11 00:39:23
sdparm-doc-1.12-r1.apk19.3 KiB2022-10-28 15:21:40
readosm-dev-1.1.0-r2.apk19.3 KiB2021-09-27 22:25:08
materia-kde-20220823-r0.apk19.3 KiB2023-03-19 22:40:15
imrsh-dbg-0_git20210320-r1.apk19.3 KiB2022-10-28 15:20:43
shellinabox-doc-2.21-r3.apk19.4 KiB2023-05-15 16:46:20
perl-mojo-sqlite-doc-3.009-r0.apk19.4 KiB2024-01-12 13:36:01
vbindiff-3.0_beta5-r1.apk19.4 KiB2022-10-28 15:21:48
php81-xml-8.1.29-r0.apk19.4 KiB2024-06-06 20:04:14
asteroid-weather-2.0.0-r0.apk19.4 KiB2023-08-31 09:41:35
musikcube-plugin-stockencoders-3.0.4-r0.apk19.4 KiB2024-07-17 06:25:13
bootinfo-0.1.0-r4.apk19.4 KiB2024-08-04 21:13:17
xfce4-panel-profiles-doc-1.0.14-r1.apk19.4 KiB2023-12-11 08:36:02
kodi-game-libretro-mgba-0.11.0.44-r0.apk19.4 KiB2023-07-02 22:03:17
perl-bsd-resource-1.2911-r10.apk19.5 KiB2024-06-10 06:08:21
py3-cobs-1.2.0-r3.apk19.5 KiB2024-04-15 21:03:18
py3-pytest-subprocess-1.5.2-r0.apk19.5 KiB2024-08-07 12:31:35
php84-pecl-psr-1.2.0-r0.apk19.5 KiB2024-07-05 17:42:19
py3-daemon-pyc-3.0.1-r0.apk19.5 KiB2024-05-29 01:30:09
perl-mojo-pg-doc-4.27-r0.apk19.6 KiB2024-01-17 12:12:52
lua-lupa-1.0-r0.apk19.6 KiB2022-01-14 09:20:40
py3-wbdata-pyc-1.0.0-r1.apk19.6 KiB2024-04-15 21:03:26
libofx-dev-0.10.9-r1.apk19.6 KiB2023-08-26 11:15:53
perl-xml-atom-0.43-r0.apk19.6 KiB2024-01-14 15:55:33
py3-sphinx-autodoc-typehints-2.2.3-r0.apk19.6 KiB2024-07-22 21:12:50
liblastfm-qt-dev-1.1.10_git20190823-r3.apk19.6 KiB2024-05-08 13:01:52
ampy-pyc-1.1.0-r5.apk19.6 KiB2024-04-15 07:15:08
ocaml-mirage-net-dev-4.0.0-r3.apk19.6 KiB2024-03-23 20:49:45
libmrss-0.19.2-r1.apk19.6 KiB2021-07-18 09:15:10
perl-gtk3-0.038-r1.apk19.6 KiB2023-07-03 22:52:45
pimd-dense-doc-2.1.0-r0.apk19.7 KiB2023-01-14 01:44:57
php81-tidy-8.1.29-r0.apk19.7 KiB2024-06-06 20:04:14
kodi-game-libretro-beetle-saturn-1.29.0.40-r0.apk19.7 KiB2023-07-02 22:03:17
py3-numpy-stl-3.0.1-r3.apk19.8 KiB2024-06-18 14:37:20
ocaml-cmdliner-doc-1.1.1-r3.apk19.8 KiB2024-03-23 20:49:24
eiwd-doc-2.16-r0.apk19.8 KiB2024-03-20 20:07:16
perl-test-trap-0.3.5-r1.apk19.8 KiB2023-07-03 22:52:46
perl-gearman-doc-2.004.015-r3.apk19.8 KiB2024-01-04 01:10:58
circuslinux-1.0.3-r1.apk19.8 KiB2021-12-05 01:04:23
py3-flask-restaction-pyc-0.25.3-r8.apk19.8 KiB2024-04-15 21:03:19
kubeone-doc-1.8.1-r0.apk19.9 KiB2024-07-07 05:46:40
kodi-game-libretro-beetle-supergrafx-1.29.0.39-r0.apk19.9 KiB2023-07-02 22:03:17
perl-ffi-c-0.15-r0.apk19.9 KiB2024-02-03 09:53:28
mitra-doc-2.26.0-r0.apk19.9 KiB2024-07-22 21:12:50
perl-test-trap-doc-0.3.5-r1.apk19.9 KiB2023-07-03 22:52:46
libnxml-0.18.3-r0.apk19.9 KiB2019-03-19 11:28:03
iprange-1.0.4-r1.apk19.9 KiB2022-10-28 15:20:43
py3-incoming-pyc-0.3.1-r8.apk19.9 KiB2024-08-06 12:12:22
apk-snap-doc-3.1.1-r0.apk19.9 KiB2024-01-22 15:55:13
xfce4-mpc-plugin-0.5.3-r0.apk20.0 KiB2023-08-02 08:00:49
embree3-dev-3.13.5-r2.apk20.0 KiB2023-07-02 22:01:48
libm17n-flt-1.8.4-r2.apk20.0 KiB2024-08-02 17:04:38
greetd-mini-wl-greeter-0_git20230821-r0.apk20.0 KiB2023-08-22 10:22:35
wsmancli-2.6.2-r0.apk20.0 KiB2023-01-12 16:03:47
py3-piccata-2.0.3-r1.apk20.1 KiB2024-04-15 21:03:25
shc-4.0.3-r1.apk20.1 KiB2022-10-28 15:21:40
php81-pecl-ast-1.1.2-r0.apk20.1 KiB2024-08-11 00:31:07
ddgr-2.2-r0.apk20.1 KiB2024-01-14 19:13:16
perl-test-expander-doc-2.5.0-r0.apk20.1 KiB2024-03-09 06:50:11
materia-light-kde-plasma-20220823-r0.apk20.1 KiB2023-03-19 22:40:15
fcitx5-gtk-5.1.3-r0.apk20.1 KiB2024-05-10 03:34:30
py3-py-radix-0.10.0-r9.apk20.2 KiB2024-04-15 21:03:25
znc-playback-0_git20210503-r7.apk20.2 KiB2023-11-12 12:21:39
py3-parse-1.20.2-r0.apk20.2 KiB2024-06-26 04:36:51
perl-net-patricia-1.22-r12.apk20.2 KiB2024-06-10 06:08:21
perl-http-xsheaders-0.400005-r1.apk20.2 KiB2024-06-10 06:08:21
ocaml-seq-dev-0.3.1-r2.apk20.2 KiB2024-03-23 20:50:05
perl-sql-abstract-classic-doc-1.91-r1.apk20.2 KiB2023-07-03 22:52:46
minimodem-0.24-r1.apk20.3 KiB2022-10-28 15:21:05
linuxptp-tz2alt-4.3-r0.apk20.3 KiB2024-06-20 02:04:59
py3-imageio-ffmpeg-pyc-0.4.9-r1.apk20.3 KiB2024-07-09 11:43:51
portsmf-dev-239-r1.apk20.3 KiB2023-03-18 21:44:22
ptpd-doc-2.3.1-r1.apk20.3 KiB2022-10-28 15:21:38
libdatrie-dev-0.2.13-r0.apk20.3 KiB2022-01-27 00:31:02
py3-libnacl-2.1.0-r1.apk20.3 KiB2024-04-15 21:03:20
py3-nmap-0.7.1-r4.apk20.4 KiB2024-08-07 12:46:01
lomiri-app-launch-dev-0.1.9-r3.apk20.4 KiB2024-06-22 10:57:53
php84-pecl-ast-1.1.2-r0.apk20.4 KiB2024-08-11 00:31:07
libiscsi-dev-1.19.0-r2.apk20.4 KiB2023-05-15 16:46:11
py3-pyisbn-1.3.1-r3.apk20.4 KiB2024-08-06 12:12:22
php84-tidy-8.4.0_alpha4-r0.apk20.5 KiB2024-08-01 12:09:14
sqm-scripts-1.6.0-r0.apk20.5 KiB2023-08-22 20:00:03
py3-nptyping-2.5.0-r2.apk20.5 KiB2024-04-15 07:15:34
py3-sphinxcontrib-restbuilder-pyc-0.3-r6.apk20.5 KiB2024-04-15 21:03:26
vim-rust-305-r0.apk20.5 KiB2017-03-20 16:30:09
py3-teletype-pyc-1.3.4-r3.apk20.5 KiB2024-04-15 21:03:26
musikcube-dev-3.0.4-r0.apk20.5 KiB2024-07-17 06:25:13
gpscorrelate-cli-2.0_git20230605-r0.apk20.6 KiB2023-06-16 22:19:46
tang-doc-14-r0.apk20.6 KiB2023-07-23 14:03:19
htmlcxx-dev-0.87-r1.apk20.6 KiB2022-10-14 15:08:53
autorandr-1.15-r0.apk20.6 KiB2024-03-16 12:36:22
py3-mando-0.7.1-r2.apk20.6 KiB2024-04-15 21:03:24
libcyaml-1.4.1-r2.apk20.6 KiB2024-01-12 00:42:57
qmk-cli-pyc-1.1.5-r1.apk20.7 KiB2024-04-15 21:03:27
fox-shutterbug-1.6.57-r0.apk20.7 KiB2022-08-08 10:58:15
xfce4-smartbookmark-plugin-lang-0.5.2-r0.apk20.7 KiB2023-08-03 15:17:46
zycore-1.5.0-r0.apk20.7 KiB2024-04-05 22:35:43
py3-altgraph-0.17.4-r1.apk20.7 KiB2024-04-15 21:03:17
detox-doc-2.0.0-r0.apk20.7 KiB2024-04-01 19:39:46
libunicode-tools-0.4.0-r0.apk20.7 KiB2024-01-19 00:29:39
lzfse-1.0-r0.apk20.8 KiB2022-09-17 09:41:16
bestline-0.0_git20211108-r0.apk20.8 KiB2022-02-13 15:13:01
lomiri-url-dispatcher-lang-0.1.3-r2.apk20.8 KiB2024-06-22 10:57:53
py3-aioopenssl-0.6.0-r4.apk20.8 KiB2024-08-06 12:04:46
snapper-doc-0.11.1-r0.apk20.8 KiB2024-07-12 07:01:30
py3-logtop-0.7-r0.apk20.8 KiB2024-06-28 05:47:47
bump2version-1.0.1-r6.apk20.9 KiB2024-04-15 21:03:07
dfl-ipc-0.2.0-r0.apk20.9 KiB2023-12-30 13:27:00
ruby-resolv-0.4.0-r0.apk20.9 KiB2024-07-13 21:26:13
tup-doc-0.7.11-r0.apk20.9 KiB2023-03-06 13:16:15
py3-firmata-pyc-1.0.3-r10.apk20.9 KiB2024-08-08 18:20:05
py3-telegram-pyc-0.18.0-r3.apk20.9 KiB2024-08-09 22:28:41
kodi-game-libretro-beetle-pce-fast-1.31.0.43-r0.apk20.9 KiB2023-07-02 22:03:17
simpleble-dev-0.6.1-r1.apk20.9 KiB2023-07-29 22:01:34
py3-telemetrix-1.20-r3.apk20.9 KiB2024-08-06 12:12:22
libiio-pyc-0.25-r2.apk21.0 KiB2024-07-29 15:42:16
py3-mattermostdriver-7.3.2-r0.apk21.0 KiB2024-05-27 02:18:28
py3-pypandoc-1.13-r0.apk21.0 KiB2024-04-22 04:42:33
optee-client-3.20.0-r0.apk21.0 KiB2023-03-01 17:43:56
py3-pure_protobuf-3.0.1-r2.apk21.1 KiB2024-04-15 21:03:25
hiawatha-doc-11.6-r0.apk21.1 KiB2024-06-15 07:33:45
py3-aioitertools-0.11.0-r0.apk21.1 KiB2024-05-27 02:18:28
kanidm-bash-completion-1.2.3-r0.apk21.1 KiB2024-07-04 08:22:28
musikcube-plugin-mpris-3.0.4-r0.apk21.1 KiB2024-07-17 06:25:13
perl-extutils-xsbuilder-doc-0.28-r5.apk21.1 KiB2023-07-03 22:52:45
recoll-doc-1.37.5-r1.apk21.1 KiB2024-04-15 21:03:27
nvim-packer-doc-0.0.0_git20220910-r1.apk21.2 KiB2024-06-18 14:37:20
perl-flowd-0.9.1-r10.apk21.2 KiB2024-06-10 06:08:21
dnsenum-1.3.2-r0.apk21.2 KiB2024-04-24 01:32:59
py3-mirakuru-2.5.2-r0.apk21.2 KiB2024-06-09 10:33:29
sregex-0.0.1-r1.apk21.3 KiB2022-10-28 15:21:43
ubase-doc-20200605-r2.apk21.3 KiB2022-10-28 15:21:48
flintqs-1.0-r1.apk21.3 KiB2023-08-01 15:19:18
ruff-lsp-0.0.53-r0.apk21.3 KiB2024-04-16 11:19:34
py3-linkify-it-py-2.0.3-r1.apk21.3 KiB2024-04-15 21:03:20
varnish-modules-doc-0.24.0-r0.apk21.3 KiB2024-07-11 14:32:38
hari-0_git20240126-r0.apk21.3 KiB2024-02-25 20:38:45
znc-backlog-0_git20210503-r7.apk21.4 KiB2023-11-12 12:21:39
py3-pebble-5.0.7-r1.apk21.4 KiB2024-04-15 21:03:25
py3-sphinxcontrib-hydomain-0.1.0_git20230930-r1.apk21.4 KiB2024-04-15 21:03:26
py3-furl-2.1.3-r4.apk21.4 KiB2024-08-07 14:01:21
nmap-parse-output-1.5.1-r0.apk21.4 KiB2022-06-12 21:54:27
nginx-ultimate-bad-bot-blocker-doc-4.2023.10.4046-r0.apk21.4 KiB2023-11-06 17:37:35
fusee-nano-0.5.3-r0.apk21.4 KiB2023-12-31 18:28:36
horizon-doc-0.9.6-r9.apk21.4 KiB2024-04-22 17:58:03
py3-actdiag-pyc-3.0.0-r5.apk21.5 KiB2024-08-06 12:04:22
php81-snmp-8.1.29-r0.apk21.5 KiB2024-06-06 20:04:14
materia-light-kde-yakuake-20220823-r0.apk21.5 KiB2023-03-19 22:40:15
php81-pecl-smbclient-1.1.1-r1.apk21.6 KiB2024-04-11 00:39:23
py3-proxmoxer-pyc-2.0.1-r3.apk21.6 KiB2024-04-15 21:03:25
starfighter-doc-2.4-r0.apk21.6 KiB2023-08-08 20:37:30
py3-unidns-pyc-0.0.1-r2.apk21.6 KiB2024-04-15 21:03:26
php84-gmp-8.4.0_alpha4-r0.apk21.6 KiB2024-08-01 12:09:14
fulcrum-doc-1.9.8-r1.apk21.6 KiB2024-06-27 21:59:26
py3-sphinx-argparse-pyc-0.4.0-r3.apk21.6 KiB2024-04-15 21:03:26
py3-pytest-html-4.1.1-r1.apk21.6 KiB2024-04-15 21:03:25
py3-agithub-pyc-2.2.2-r5.apk21.6 KiB2024-04-15 21:03:17
py3-svgpath-pyc-6.3-r3.apk21.6 KiB2024-06-15 05:12:36
wiremapper-0.10.0-r0.apk21.6 KiB2022-04-26 20:40:33
hyprutils-0.1.5-r0.apk21.7 KiB2024-06-27 05:58:10
plattenalbum-lang-2.1.1-r0.apk21.7 KiB2024-06-18 14:37:20
cri-o-doc-1.30.3-r0.apk21.7 KiB2024-07-07 05:41:40
mesa-asahi-gles-24.0.0_pre20240727-r0.apk21.7 KiB2024-07-30 19:04:55
php84-snmp-8.4.0_alpha4-r0.apk21.7 KiB2024-08-01 12:09:14
perl-net-idn-encode-doc-2.500-r1.apk21.7 KiB2024-06-10 06:08:21
materia-dark-kde-yakuake-20220823-r0.apk21.7 KiB2023-03-19 22:40:15
php82-pecl-excimer-1.2.2-r0.apk21.7 KiB2024-08-04 22:14:47
py3-pytest-html-pyc-4.1.1-r1.apk21.8 KiB2024-04-15 21:03:25
php83-pecl-excimer-1.2.2-r0.apk21.8 KiB2024-08-04 22:14:47
mbrola-3.3-r0.apk21.8 KiB2022-08-16 01:57:22
postgresql-pg_partman-bitcode-5.0.0-r0.apk21.8 KiB2023-12-17 22:58:19
lkrg-doc-0.9.6-r0.apk21.8 KiB2023-08-09 10:57:12
cups-pdf-3.0.1-r2.apk21.8 KiB2024-03-26 12:25:21
php84-pecl-excimer-1.2.2-r0.apk21.8 KiB2024-08-04 22:14:47
py3-pyroma-4.2-r0.apk21.9 KiB2024-04-15 21:03:25
py3-virtualenvwrapper-6.1.0-r1.apk21.9 KiB2024-04-15 21:03:26
py3-ansi2html-pyc-1.9.1-r1.apk21.9 KiB2024-04-15 21:03:17
libnfc-doc-1.8.0-r1.apk22.0 KiB2023-05-15 16:46:12
netdiscover-doc-0.10-r0.apk22.0 KiB2023-09-29 23:01:14
php81-sqlite3-8.1.29-r0.apk22.0 KiB2024-06-06 20:04:14
libspatialindex-dev-0_git20210205-r1.apk22.0 KiB2023-11-06 17:37:29
py3-cstruct-5.3-r1.apk22.0 KiB2024-04-15 21:03:18
perl-mastodon-client-0.017-r0.apk22.1 KiB2024-01-13 13:37:35
py3-mirakuru-pyc-2.5.2-r0.apk22.1 KiB2024-06-09 10:33:29
bindfs-1.17.6-r0.apk22.1 KiB2023-12-03 10:03:38
xfce4-calculator-plugin-lang-0.7.2-r0.apk22.1 KiB2023-04-19 17:45:19
php81-gmp-8.1.29-r0.apk22.1 KiB2024-06-06 20:04:14
flatpak-xdg-utils-1.0.5-r0.apk22.1 KiB2023-08-22 15:50:19
lpa-gtk-pyc-0.1-r1.apk22.1 KiB2024-06-25 14:12:20
prettier-doc-3.2.5-r0.apk22.2 KiB2024-02-05 23:57:26
clevis-doc-19-r0.apk22.2 KiB2023-01-29 19:27:40
timewarrior-doc-1.7.1-r0.apk22.2 KiB2024-01-17 22:56:12
py3-dexml-0.5.1-r9.apk22.2 KiB2024-04-15 21:03:18
hopalong-0.1-r3.apk22.2 KiB2022-10-18 03:16:51
tncattach-0.1.9-r1.apk22.2 KiB2022-10-28 15:21:44
cdba-server-1.0-r1.apk22.2 KiB2024-06-25 11:14:09
ntpd-rs-doc-1.2.3-r0.apk22.2 KiB2024-07-23 09:24:59
py3-coreapi-2.3.3-r9.apk22.2 KiB2024-08-06 12:46:18
fcitx5-anthy-lang-5.1.4-r0.apk22.2 KiB2024-05-10 03:34:30
py3-html5-parser-pyc-0.4.12-r1.apk22.3 KiB2024-04-15 21:03:19
jami-daemon-dev-4.0.0_git20230925-r2.apk22.3 KiB2024-06-03 06:22:32
sblim-sfcc-dev-2.2.8-r2.apk22.3 KiB2023-05-15 16:46:20
asteroid-calendar-2.0.0-r0.apk22.3 KiB2023-08-31 09:41:34
php81-dba-8.1.29-r0.apk22.4 KiB2024-06-06 20:04:14
lipstick-asteroidos-tools-2.0.0-r1.apk22.4 KiB2023-10-08 10:34:15
perl-glib-ex-objectbits-doc-17-r0.apk22.4 KiB2024-05-20 07:17:21
ocaml-mirage-kv-4.0.1-r3.apk22.4 KiB2024-03-23 20:49:45
py3-pymata-2.20-r4.apk22.5 KiB2024-08-08 18:20:05
tree-sitter-scheme-0.6.0-r0.apk22.5 KiB2023-11-20 00:26:07
php84-simplexml-8.4.0_alpha4-r0.apk22.5 KiB2024-08-01 12:09:14
swi-prolog-pyc-9.2.6-r0.apk22.5 KiB2024-07-28 18:52:48
py3-geoip-1.3.2-r4.apk22.6 KiB2024-08-08 18:20:05
perl-rxperl-doc-6.29.4-r0.apk22.6 KiB2024-08-06 13:21:07
lua5.1-lcurses-9.0.0-r0.apk22.6 KiB2018-01-05 13:55:49
emacs-emacsql-3.1.1_git20240714-r0.apk22.6 KiB2024-07-29 17:02:17
efibootguard-dev-0.16-r0.apk22.6 KiB2024-07-01 10:42:21
thunar-gtkhash-plugin-1.5-r0.apk22.6 KiB2022-10-01 21:16:48
htslib-doc-1.19-r0.apk22.7 KiB2023-12-12 17:44:29
nlopt-doc-2.7.1-r0.apk22.7 KiB2022-05-06 09:53:56
fcitx5-qt-dev-5.1.6-r0.apk22.7 KiB2024-05-10 03:34:30
policycoreutils-doc-3.6-r0.apk22.7 KiB2024-01-08 09:43:00
kodi-game-libretro-nestopia-1.52.0.41-r0.apk22.7 KiB2023-07-02 22:03:17
php81-simplexml-8.1.29-r0.apk22.7 KiB2024-06-06 20:04:14
shellharden-doc-4.3.1-r0.apk22.8 KiB2024-07-07 21:29:44
perl-conf-libconfig-1.0.3-r0.apk22.8 KiB2024-06-11 06:08:29
py3-wgconfig-1.0.3-r0.apk22.8 KiB2024-07-09 20:31:18
py3-pymata4-1.15-r3.apk22.8 KiB2024-04-15 21:03:25
emacs-ace-window-0.10.0_git20220911-r0.apk22.8 KiB2024-04-02 09:39:09
postgresql-pg_variables-1.2.4_git20220909-r2.apk22.8 KiB2023-10-04 05:52:05
pam-krb5-4.11-r0.apk22.8 KiB2022-05-16 14:17:32
tree-sitter-nix-0_git20230713-r0.apk22.8 KiB2023-11-19 02:32:12
perl-database-async-0.019-r0.apk22.8 KiB2024-01-17 12:12:03
nemo-gtkhash-plugin-1.5-r0.apk22.8 KiB2022-10-01 21:16:48
trafficserver9-plugin-cachepromote-9.2.4-r0.apk22.8 KiB2024-04-05 13:43:12
libcyaml-static-1.4.1-r2.apk22.8 KiB2024-01-12 00:42:57
py3-pytest-postgresql-pyc-6.0.0-r0.apk22.8 KiB2024-06-09 10:33:29
perl-css-object-0.1.6-r0.apk22.9 KiB2024-02-24 12:59:03
zarchive-libs-0.1.2-r2.apk22.9 KiB2023-08-07 20:56:34
libsemanage-doc-3.6-r0.apk22.9 KiB2023-12-28 04:20:23
tayga-0.9.2-r0.apk22.9 KiB2023-01-23 07:26:37
py3-pyvcd-0.4.0-r1.apk23.0 KiB2024-04-15 21:03:25
lua5.2-xml-1.1.3-r1.apk23.0 KiB2020-03-02 12:59:45
py3-pysrt-pyc-1.1.2-r4.apk23.0 KiB2024-08-08 18:20:05
php84-pdo_pgsql-8.4.0_alpha4-r0.apk23.0 KiB2024-08-01 12:09:14
tree-sitter-clojure-0.0.12-r0.apk23.0 KiB2024-01-12 13:36:05
py3-pypandoc-pyc-1.13-r0.apk23.0 KiB2024-04-22 04:42:33
php81-ftp-8.1.29-r0.apk23.1 KiB2024-06-06 20:04:14
antimicrox-doc-3.4.0-r0.apk23.1 KiB2024-06-08 21:22:27
py3-aiowinreg-0.0.12-r0.apk23.1 KiB2024-05-13 07:58:29
py3-tasklib-2.5.1-r2.apk23.1 KiB2024-04-15 21:03:26
lua5.1-xml-1.1.3-r1.apk23.1 KiB2020-03-02 12:59:45
py3-enzyme-0.5.1-r0.apk23.2 KiB2024-06-26 20:26:40
zita-resampler-1.10.1-r0.apk23.2 KiB2023-03-18 21:44:43
xmp-4.2.0-r0.apk23.2 KiB2023-08-21 00:04:39
autotrash-0.4.6-r1.apk23.2 KiB2024-04-15 07:15:08
pfqueue-dev-0.5.6-r1.apk23.2 KiB2022-10-14 15:08:54
perl-getopt-tabular-0.3-r4.apk23.2 KiB2023-07-03 22:52:45
php84-ftp-8.4.0_alpha4-r0.apk23.2 KiB2024-08-01 12:09:14
sfwbar-doc-1.0_beta15-r0.apk23.3 KiB2024-06-27 20:23:11
lua5.2-luacov-0.15.0-r0.apk23.3 KiB2023-06-16 22:20:19
lua5.3-luacov-0.15.0-r0.apk23.3 KiB2023-06-16 22:20:19
lua5.4-luacov-0.15.0-r0.apk23.3 KiB2023-06-16 22:20:19
lua5.1-luacov-0.15.0-r0.apk23.3 KiB2023-06-16 22:20:19
py3-compdb-0.2.0-r8.apk23.3 KiB2024-08-07 12:32:21
spvm-math-1.001-r1.apk23.3 KiB2024-06-10 06:08:21
libirecovery-1.1.0-r0.apk23.4 KiB2023-05-27 11:04:32
pam-krb5-doc-4.11-r0.apk23.4 KiB2022-05-16 14:17:32
harminv-libs-1.4.2-r1.apk23.4 KiB2023-10-08 17:25:48
surf-2.1-r3.apk23.4 KiB2024-05-13 07:58:30
py3-linkify-it-py-pyc-2.0.3-r1.apk23.4 KiB2024-04-15 21:03:20
admesh-doc-0.98.5-r0.apk23.4 KiB2022-12-06 11:04:23
i2util-4.2.1-r1.apk23.5 KiB2022-10-28 15:20:42
shipments-0.3.0-r0.apk23.5 KiB2022-02-03 02:44:14
emacs-derl-0_git20231004-r0.apk23.5 KiB2024-05-05 06:26:57
rook-doc-0.1.3-r1.apk23.5 KiB2024-07-04 08:22:37
freealut-dev-1.1.0-r1.apk23.5 KiB2022-07-26 02:59:07
grommunio-error-pages-1.0_git20231031-r0.apk23.5 KiB2024-04-26 06:25:49
msgpuck-dev-2.0-r1.apk23.5 KiB2020-02-22 17:27:54
py3-minidb-pyc-2.0.7-r4.apk23.5 KiB2024-08-06 12:27:10
perl-dns-unbound-0.29-r1.apk23.6 KiB2024-06-10 06:08:21
php81-odbc-8.1.29-r0.apk23.6 KiB2024-06-06 20:04:14
stgit-zsh-completion-2.4.7-r1.apk23.6 KiB2024-06-07 22:45:46
py3-timeago-1.0.16-r0.apk23.6 KiB2024-05-04 13:42:07
nwg-displays-0.3.13-r1.apk23.6 KiB2024-04-15 21:03:16
py3-bite-parser-pyc-0.2.4-r1.apk23.6 KiB2024-04-15 21:03:18
php84-xml-8.4.0_alpha4-r0.apk23.6 KiB2024-08-01 12:09:14
junit2html-pyc-31.0.2-r0.apk23.6 KiB2024-08-03 11:08:50
ibus-rime-1.5.0-r1.apk23.6 KiB2023-09-18 11:42:43
mdnsd-0.12-r1.apk23.7 KiB2023-05-15 16:46:14
py3-arpeggio-2.0.2-r2.apk23.7 KiB2024-08-05 23:33:36
curlftpfs-0.9.2-r3.apk23.7 KiB2022-10-28 15:20:33
smile-lang-2.9.5-r0.apk23.7 KiB2024-06-23 20:58:20
perl-promise-xs-0.20-r1.apk23.8 KiB2024-06-10 06:08:21
py3-discid-1.2.0-r6.apk23.8 KiB2024-08-08 18:20:05
py3-sphinxcontrib-programoutput-pyc-0.17-r5.apk23.8 KiB2024-08-07 14:24:43
mcjoin-2.11-r0.apk23.9 KiB2022-09-12 08:03:09
py3-remind-0.18.0-r4.apk23.9 KiB2024-08-06 13:00:30
py3-truststore-pyc-0.9.1-r0.apk23.9 KiB2024-06-03 20:42:26
makedumpfile-doc-1.7.5-r0.apk24.0 KiB2024-07-18 07:20:32
py3-aiosasl-pyc-0.5.0-r3.apk24.0 KiB2024-04-15 21:03:17
ruby-httparty-0.22.0-r1.apk24.0 KiB2024-08-03 04:06:21
py3-preggy-pyc-1.4.4-r5.apk24.0 KiB2024-08-06 12:36:04
sentrypeer-3.0.2-r0.apk24.0 KiB2023-12-23 12:59:09
newsyslog-doc-1.2.0.91-r1.apk24.0 KiB2023-06-16 22:20:37
py3-ffmpeg-0.2.0-r4.apk24.0 KiB2024-08-08 18:20:05
libexmdbpp-dev-1.11-r1.apk24.0 KiB2024-05-17 01:44:41
cliquer-tests-1.22-r2.apk24.0 KiB2023-08-01 15:19:02
mame-doc-0.251-r0.apk24.1 KiB2023-02-22 11:49:37
cliquer-libs-1.22-r2.apk24.1 KiB2023-08-01 15:19:02
py3-twiggy-0.5.1-r4.apk24.1 KiB2024-08-08 18:20:05
simdutf-dev-5.2.8-r0.apk24.1 KiB2024-06-25 16:22:50
vidcutter-doc-6.0.5.2-r0.apk24.2 KiB2024-06-11 06:08:41
php84-common-8.4.0_alpha4-r0.apk24.2 KiB2024-08-01 12:09:13
py3-hatch-openzim-bootstrap-0.1.0-r0.apk24.2 KiB2024-04-18 13:58:37
lomiri-location-service-lang-3.1.0-r3.apk24.3 KiB2024-06-26 03:46:51
clustershell-doc-1.9.2-r1.apk24.3 KiB2024-05-23 21:23:08
ocaml-lwt-dllist-1.0.1-r3.apk24.3 KiB2024-03-23 20:49:43
php81-pecl-mailparse-3.1.6-r0.apk24.3 KiB2024-04-11 00:39:23
git-revise-0.7.0-r5.apk24.3 KiB2024-08-04 21:17:28
php84-pecl-mailparse-3.1.6-r0.apk24.3 KiB2024-07-05 00:11:04
grommunio-admin-api-doc-1.15-r3.apk24.3 KiB2024-06-18 14:37:17
py3-webrtcvad-2.0.10-r1.apk24.4 KiB2024-05-31 06:16:30
py3-poetry-dynamic-versioning-pyc-1.4.0-r0.apk24.4 KiB2024-06-22 10:57:53
php84-sqlite3-8.4.0_alpha4-r0.apk24.4 KiB2024-08-01 12:09:14
epr-pyc-2.4.15-r1.apk24.4 KiB2024-04-15 21:03:10
py3-mopidy-spotify-5.0.0_alpha2-r0.apk24.5 KiB2024-04-17 15:28:10
xed-python-3.4.5-r0.apk24.5 KiB2024-01-08 09:43:39
py3-spin-pyc-0.8-r0.apk24.5 KiB2024-04-15 07:15:34
font-siji-20190218_git-r2.apk24.5 KiB2022-10-08 15:26:18
wlr-protocols-0_git20240126-r0.apk24.5 KiB2024-07-14 22:27:09
py3-hatch-openzim-0.2.0-r0.apk24.5 KiB2024-04-18 13:58:37
py3-pytest-subprocess-pyc-1.5.2-r0.apk24.5 KiB2024-08-07 12:31:35
trafficserver9-plugin-compress-9.2.4-r0.apk24.5 KiB2024-04-05 13:43:12
py3-mopidy-jellyfin-1.0.4-r4.apk24.5 KiB2024-08-05 23:33:36
py3-librtmp-pyc-0.3.0-r6.apk24.6 KiB2024-04-15 21:03:20
libcec-rpi-dev-6.0.2-r4.apk24.6 KiB2024-06-26 09:47:05
perl-mojo-redis-doc-3.29-r0.apk24.6 KiB2024-01-12 13:36:01
py3-queuelib-pyc-1.6.2-r4.apk24.6 KiB2024-04-15 21:03:25
php84-dba-8.4.0_alpha4-r0.apk24.7 KiB2024-08-01 12:09:13
caja-gtkhash-plugin-1.5-r0.apk24.7 KiB2022-10-01 21:16:48
ocaml-containers-top-3.7-r2.apk24.7 KiB2024-03-23 20:49:26
gnome-metronome-lang-1.3.0-r0.apk24.7 KiB2023-06-16 22:19:28
py3-qt.py-pyc-1.3.10-r1.apk24.7 KiB2024-08-07 12:44:19
py3-iniparse-pyc-0.5-r6.apk24.7 KiB2024-04-15 21:03:19
opkg-utils-0.4.5-r1.apk24.7 KiB2022-10-28 15:21:32
py3-urlobject-pyc-2.4.3-r8.apk24.7 KiB2024-04-15 21:03:26
py3-mopidy-tidal-0.3.2-r6.apk24.8 KiB2024-08-06 12:05:02
py3-dominate-2.9.1-r1.apk24.8 KiB2024-04-15 21:03:18
numbat-doc-1.9.0-r0.apk24.8 KiB2024-02-06 03:37:04
py3-modbus-tk-1.1.1-r4.apk24.8 KiB2024-08-05 23:33:36
py3-wtf-peewee-pyc-3.0.5-r2.apk24.8 KiB2024-08-06 12:14:45
pmccabe-2.8-r1.apk24.8 KiB2022-10-28 15:21:38
vfd-configurations-0_git20230612-r0.apk24.8 KiB2023-06-16 22:22:13
tanidvr-1.4.1-r1.apk24.8 KiB2022-10-14 15:08:56
pnmixer-lang-0.7.2-r3.apk24.8 KiB2023-10-11 17:35:33
musikcube-plugin-supereqdsp-3.0.4-r0.apk24.8 KiB2024-07-17 06:25:13
hare-unicode-0_git20240417-r0.apk24.8 KiB2024-07-23 01:14:09
smplxmpp-doc-0.9.3-r2.apk24.9 KiB2024-04-29 16:49:12
py3-milc-1.8.0-r1.apk24.9 KiB2024-04-15 21:03:24
brltty-static-6.6-r1.apk24.9 KiB2023-11-12 12:19:30
3proxy-doc-0.9.4-r0.apk24.9 KiB2023-09-18 05:37:09
mtree-portable-0_git20220519-r0.apk25.0 KiB2024-06-20 14:35:23
perl-ryu-3.005-r0.apk25.0 KiB2024-01-15 20:58:17
pdfcrack-0.20-r0.apk25.0 KiB2022-12-17 22:22:15
libm4rie-dev-20200125-r3.apk25.1 KiB2023-08-01 15:19:30
knxd-dev-0.14.61-r0.apk25.1 KiB2024-05-13 17:37:21
olsrd-doc-0.9.8-r2.apk25.1 KiB2022-10-28 15:21:06
perl-nice-try-1.3.10-r0.apk25.1 KiB2024-03-26 11:44:26
php81-common-8.1.29-r0.apk25.2 KiB2024-06-06 20:04:14
perl-mojo-redis-3.29-r0.apk25.2 KiB2024-01-12 13:36:01
lshell-doc-0.9.18-r11.apk25.2 KiB2024-04-15 21:03:15
thunarx-python-doc-0.5.2-r2.apk25.2 KiB2024-04-16 16:34:33
py3-pockethernet-pyc-0.7.0-r4.apk25.2 KiB2024-08-07 13:40:42
py3-rtree-1.1.0-r2.apk25.2 KiB2024-08-07 13:32:38
parcellite-doc-1.2.5-r0.apk25.2 KiB2024-07-11 05:11:50
tnef-1.4.18-r0.apk25.3 KiB2024-03-30 17:37:48
p0f-doc-3.09b-r2.apk25.3 KiB2022-10-28 15:21:33
py3-utils-3.8.1-r1.apk25.3 KiB2024-04-15 21:03:26
sregex-dev-0.0.1-r1.apk25.3 KiB2022-10-28 15:21:43
hare-madeline-0.1_git20240315-r0.apk25.3 KiB2024-05-04 13:41:59
crossplane-0.5.8-r2.apk25.4 KiB2024-04-15 21:03:08
curtail-1.9.1-r0.apk25.4 KiB2024-04-15 21:03:10
pcsc-perl-1.4.16-r1.apk25.5 KiB2024-06-10 06:08:21
ocaml-uuidm-dev-0.9.8-r2.apk25.5 KiB2024-03-23 20:50:10
libmysofa-1.3.2-r0.apk25.5 KiB2023-11-06 17:37:29
hare-xkb-0_git20231011-r0.apk25.5 KiB2023-10-11 18:05:24
ocaml-trie-dev-1.0.0-r2.apk25.5 KiB2024-03-23 20:50:06
py3-nmap-pyc-0.7.1-r4.apk25.5 KiB2024-08-07 12:46:01
perl-promise-me-0.4.11-r0.apk25.5 KiB2024-04-27 08:33:13
ocaml-pbkdf-dev-1.2.0-r2.apk25.5 KiB2024-03-23 20:49:50
ssh-tools-1.8-r0.apk25.6 KiB2024-03-16 21:30:41
dwl-0.5-r2.apk25.6 KiB2024-07-13 16:16:50
perl-number-tolerant-doc-1.710-r0.apk25.6 KiB2023-08-10 11:42:56
rvlprog-0.91-r2.apk25.6 KiB2024-07-11 14:44:18
wol-0.7.1-r2.apk25.7 KiB2023-08-17 17:12:44
khronos-lang-4.0.1-r0.apk25.7 KiB2023-10-14 23:22:41
py3-snapshottest-pyc-0.6.0-r5.apk25.7 KiB2024-08-06 12:26:18
py3-flask-mailman-pyc-1.1.1-r0.apk25.7 KiB2024-07-07 05:17:16
xfce4-timer-plugin-1.7.2-r0.apk25.8 KiB2023-04-18 18:54:17
ruby-mini_mime-1.1.5-r0.apk25.8 KiB2024-07-13 21:27:45
gtksourceviewmm4-devhelp-3.91.1-r2.apk25.8 KiB2023-04-14 14:16:28
py3-pysrt-1.1.2-r4.apk25.8 KiB2024-08-08 18:20:05
ip2location-8.6.1-r0.apk25.9 KiB2023-06-16 22:19:56
py3-asif-pyc-0.3.2-r3.apk25.9 KiB2024-08-05 23:33:36
py3-pyroma-pyc-4.2-r0.apk26.0 KiB2024-04-15 21:03:25
xfce4-netload-plugin-1.4.1-r0.apk26.0 KiB2023-08-04 14:52:55
kodi-game-libretro-snes9x-1.62.3.45-r0.apk26.0 KiB2023-07-02 22:03:17
php81-zip-8.1.29-r0.apk26.0 KiB2024-06-06 20:04:14
py3-unoconv-0.9.0-r2.apk26.0 KiB2024-08-07 12:47:20
dmarc-metrics-exporter-1.0.0-r2.apk26.0 KiB2024-04-15 21:03:10
py3-parver-pyc-0.5-r1.apk26.0 KiB2024-04-15 14:26:21
tre-0.8.0-r2.apk26.0 KiB2023-05-15 16:46:26
perl-rxperl-6.29.4-r0.apk26.1 KiB2024-08-06 13:21:07
libtsm-4.0.2-r0.apk26.1 KiB2022-10-05 00:06:46
py3-manuel-pyc-1.12.4-r3.apk26.1 KiB2024-08-07 12:29:32
postgresql-hll-2.18-r0.apk26.2 KiB2023-12-17 22:58:19
sipgrep-2.2.0-r0.apk26.2 KiB2024-05-08 12:19:30
py3-dunamai-1.21.2-r0.apk26.2 KiB2024-06-29 12:58:04
hilbish-doc-2.3.0-r0.apk26.3 KiB2024-07-22 21:12:50
dehydrated-0.7.1-r0.apk26.4 KiB2023-01-20 22:16:06
lrcalc-libs-2.1-r1.apk26.4 KiB2023-05-15 16:46:14
py3-soapy_power-pyc-1.6.1-r5.apk26.5 KiB2024-08-07 12:29:32
jedi-language-server-0.41.4-r0.apk26.5 KiB2024-04-17 11:21:48
charls-dev-2.4.2-r0.apk26.6 KiB2023-11-06 17:35:53
kdiskmark-lang-3.1.4-r1.apk26.7 KiB2023-10-17 16:45:33
py3-feedgenerator-pyc-2.1.0-r2.apk26.8 KiB2024-08-06 12:18:19
php81-sodium-8.1.29-r0.apk26.8 KiB2024-06-06 20:04:14
debconf-doc-1.5.82-r0.apk26.8 KiB2023-03-16 14:38:28
php81-pecl-msgpack-2.2.0-r2.apk26.8 KiB2024-06-04 00:37:16
stubbyboot-efistub-1.0.2-r1.apk26.9 KiB2024-03-21 06:57:54
py3-numpy-stl-pyc-3.0.1-r3.apk26.9 KiB2024-06-18 14:37:20
perl-math-int64-0.57-r1.apk26.9 KiB2024-06-10 06:08:21
nm-tray-lang-0.5.0-r0.apk26.9 KiB2024-01-28 22:12:44
perl-extutils-builder-doc-0.011-r0.apk27.0 KiB2024-08-05 02:57:39
admesh-0.98.5-r0.apk27.0 KiB2022-12-06 11:04:23
py3-pyvows-3.0.0-r5.apk27.0 KiB2024-08-07 12:29:32
py3-evohome-client-pyc-0.3.7-r4.apk27.0 KiB2024-08-08 18:20:05
bordeaux-doc-0.8.1-r0.apk27.1 KiB2024-02-26 21:07:12
arc-dark-gnome-20221218-r0.apk27.1 KiB2023-01-07 13:34:26
openbgpd-doc-8.5-r0.apk27.1 KiB2024-07-29 10:45:16
php84-pecl-msgpack-2.2.0-r0.apk27.1 KiB2024-07-05 00:11:04
gtkwave-doc-3.3.119-r0.apk27.1 KiB2024-06-13 03:48:07
py3-progressbar2-4.2.0-r3.apk27.1 KiB2024-08-06 12:15:46
jitsi-meet-doc-1.0.7792-r1.apk27.2 KiB2024-05-24 13:05:35
vcsh-doc-2.0.5-r0.apk27.2 KiB2023-06-16 22:22:13
ocaml-mirage-profile-0.9.1-r3.apk27.2 KiB2024-03-23 20:49:45
py3-mopidy-local-3.2.1-r4.apk27.2 KiB2024-08-05 23:33:36
py3-mbedtls-pyc-2.10.1-r2.apk27.4 KiB2024-07-16 15:14:13
php84-odbc-8.4.0_alpha4-r0.apk27.4 KiB2024-08-01 12:09:14
py3-dataclasses-json-0.6.7-r0.apk27.4 KiB2024-06-11 06:08:31
curlpp-0.8.1-r1.apk27.4 KiB2022-10-28 15:20:33
py3-flask-limiter-3.7.0-r1.apk27.4 KiB2024-08-08 18:20:05
perl-gearman-2.004.015-r3.apk27.5 KiB2024-01-04 01:10:58
perl-xml-bare-0.53-r13.apk27.5 KiB2024-06-10 06:08:21
stgit-emacs-2.4.7-r1.apk27.6 KiB2024-06-07 22:45:46
jdupes-1.28.0-r0.apk27.6 KiB2024-08-06 13:02:34
py3-timeago-pyc-1.0.16-r0.apk27.7 KiB2024-05-04 13:42:07
libaudec-0.3.4-r3.apk27.7 KiB2023-07-29 22:01:12
py3-bidict-0.23.1-r1.apk27.7 KiB2024-04-15 21:03:18
py3-ecos-2.0.11-r4.apk27.7 KiB2024-08-07 14:26:09
kodi-audioencoder-wav-20.2.0-r1.apk27.8 KiB2023-07-02 22:03:17
dcnnt-0.10.0-r1.apk27.8 KiB2024-04-15 21:03:10
libaudec-tools-0.3.4-r3.apk27.8 KiB2023-07-29 22:01:12
py3-libmdbx-0.10.2-r7.apk27.9 KiB2024-08-07 13:33:38
merlin-vim-4.14-r0.apk27.9 KiB2024-03-23 20:49:16
ecos-dev-2.0.10-r0.apk27.9 KiB2022-05-23 20:54:19
octoprint-filecheck-2024.3.27-r0.apk28.0 KiB2024-04-16 00:38:44
shadowsocks-libev-doc-3.3.5-r4.apk28.0 KiB2024-04-15 07:15:36
tre-static-0.8.0-r2.apk28.0 KiB2023-05-15 16:46:26
py3-sphinx-autodoc-typehints-pyc-2.2.3-r0.apk28.1 KiB2024-07-22 21:12:50
eclib-doc-20231212-r1.apk28.1 KiB2024-04-22 17:58:00
scrypt-1.3.2-r0.apk28.1 KiB2023-10-03 09:43:03
fatback-1.3-r2.apk28.1 KiB2022-10-28 15:20:35
py3-qbittorrent-api-doc-2024.5.62-r0.apk28.1 KiB2024-05-30 16:16:13
mimeo-2023-r2.apk28.2 KiB2024-08-04 21:31:54
py3-wsgiprox-pyc-1.5.2-r1.apk28.2 KiB2024-07-11 05:11:50
py3-helper-pyc-2.5.0-r5.apk28.2 KiB2024-08-07 12:29:32
xfce4-places-plugin-1.8.3-r0.apk28.2 KiB2022-12-16 10:47:50
py3-dep-logic-0.4.4-r0.apk28.2 KiB2024-07-22 21:59:42
a2jmidid-9-r3.apk28.3 KiB2022-08-01 06:53:36
libwbxml-doc-0.11.8-r0.apk28.3 KiB2022-03-19 09:15:46
perl-barcode-zbar-0.10-r3.apk28.3 KiB2024-06-10 06:08:21
gtksourceviewmm3-devhelp-3.21.3-r2.apk28.4 KiB2023-04-14 14:16:28
trigger-rally-doc-0.6.7-r2.apk28.4 KiB2024-01-02 08:42:50
zita-njbridge-0.4.8-r1.apk28.4 KiB2022-10-28 15:21:49
php84-pecl-ssh2-1.4.1-r0.apk28.4 KiB2024-07-05 00:11:04
gmic-bash-completion-3.3.5-r0.apk28.5 KiB2024-04-26 13:52:27
php81-pecl-ssh2-1.4.1-r0.apk28.5 KiB2024-04-11 00:39:23
swappy-1.5.1-r0.apk28.5 KiB2022-11-21 22:19:43
mkdocs-bootstrap-1.1.1-r2.apk28.5 KiB2024-08-04 21:32:16
merlin-emacs-4.14-r0.apk28.5 KiB2024-03-23 20:49:16
py3-python-jose-3.3.0-r2.apk28.5 KiB2024-04-15 13:09:34
randrctl-1.9.0-r6.apk28.6 KiB2024-08-06 12:14:32
perl-mojolicious-plugin-openapi-5.09-r0.apk28.6 KiB2024-01-14 12:52:29
optee-client-dev-3.20.0-r0.apk28.6 KiB2023-03-01 17:43:57
perl-ffi-c-doc-0.15-r0.apk28.6 KiB2024-02-03 09:53:28
libnxml-dev-0.18.3-r0.apk28.6 KiB2019-03-19 11:28:03
arc-gnome-20221218-r0.apk28.6 KiB2023-01-07 13:34:26
libqb-dev-2.0.8-r0.apk28.7 KiB2023-08-03 16:08:54
ocaml-duration-0.2.0-r2.apk28.7 KiB2024-03-23 20:49:31
py3-bidict-pyc-0.23.1-r1.apk28.8 KiB2024-04-15 21:03:18
rdrview-0.1.2-r0.apk28.8 KiB2024-06-09 14:29:48
py3-rospkg-1.2.9-r5.apk28.8 KiB2024-04-15 21:03:25
neo4j-client-2.2.0-r3.apk28.8 KiB2022-08-21 01:34:49
emacs-powerline-2.4_git20221110-r0.apk28.9 KiB2024-04-02 09:39:10
py3-zipfile2-pyc-0.0.12-r0.apk28.9 KiB2024-07-22 21:12:50
ttfautohint-1.8.4-r0.apk29.0 KiB2024-05-06 10:51:24
dinit-doc-0.18.0-r2.apk29.0 KiB2024-06-02 15:35:40
libcli-1.10.7-r0.apk29.0 KiB2021-03-21 07:50:00
primecount-7.13-r0.apk29.0 KiB2024-05-01 17:11:16
perl-database-async-doc-0.019-r0.apk29.1 KiB2024-01-17 12:12:03
mesa-asahi-gbm-24.0.0_pre20240727-r0.apk29.1 KiB2024-07-30 19:04:55
bump2version-pyc-1.0.1-r6.apk29.1 KiB2024-04-15 21:03:07
lipstick-asteroidos-dev-2.0.0-r1.apk29.1 KiB2023-10-08 10:34:15
py3-altgraph-pyc-0.17.4-r1.apk29.1 KiB2024-04-15 21:03:17
kanidm-zsh-completion-1.2.3-r0.apk29.2 KiB2024-07-04 08:22:28
ktx-dev-4.3.2-r0.apk29.2 KiB2024-07-22 21:12:50
py3-tokenizers-pyc-0.19.1-r0.apk29.3 KiB2024-06-18 14:37:20
fpp-0.9.5-r0.apk29.3 KiB2022-02-14 23:29:33
soapy-hackrf-0.3.4-r2.apk29.3 KiB2023-07-29 22:01:34
libguestfs-dev-1.52.0-r1.apk29.3 KiB2024-04-15 21:03:12
py3-aiodocker-0.21.0-r1.apk29.3 KiB2024-04-15 21:03:17
octoprint-firmwarecheck-2021.10.11-r1.apk29.4 KiB2024-04-16 00:38:44
py3-pymata-pyc-2.20-r4.apk29.4 KiB2024-08-08 18:20:05
perl-file-mmagic-xs-0.09008-r4.apk29.4 KiB2024-06-10 06:08:21
cliquer-static-1.22-r2.apk29.5 KiB2023-08-01 15:19:02
perl-algorithm-backoff-doc-0.010-r0.apk29.5 KiB2024-04-16 11:30:54
php84-sodium-8.4.0_alpha4-r0.apk29.5 KiB2024-08-01 12:09:14
perl-sql-abstract-classic-1.91-r1.apk29.5 KiB2023-07-03 22:52:46
libthai-dev-0.1.29-r0.apk29.6 KiB2022-02-05 16:42:08
materia-light-kde-kvantum-20220823-r0.apk29.6 KiB2023-03-19 22:40:15
fakeroot-tcp-1.32.1-r1.apk29.7 KiB2023-08-05 17:12:34
php83-pecl-eio-3.1.3-r0.apk29.7 KiB2024-03-02 22:38:08
slidge-matridge-0.1.0-r0.apk29.7 KiB2024-06-14 03:21:12
lomiri-indicator-location-0_git20231227-r0.apk29.7 KiB2024-03-15 17:50:53
it87-src-1_p20240609-r0.apk29.7 KiB2024-06-27 13:58:11
lxappearance-0.6.3-r3.apk29.8 KiB2023-05-29 04:27:00
perl-extutils-builder-compiler-doc-0.020-r0.apk29.8 KiB2024-08-10 17:23:17
qoiconv-0.0.0_git20230312-r0.apk29.8 KiB2023-03-17 07:30:17
asteroid-alarmclock-2.0.0-r0.apk29.8 KiB2023-08-31 09:41:34
py3-sphinx-theme-epfl-1.1.1-r9.apk29.9 KiB2024-04-15 21:03:26
solanum-lang-3.0.1_git20220607-r1.apk29.9 KiB2023-02-13 14:20:14
dnscrypt-wrapper-0.4.2-r3.apk29.9 KiB2023-12-19 14:55:59
startup-bridge-udev-2.0.3-r4.apk29.9 KiB2023-07-02 22:04:07
rtmidi-6.0.0-r0.apk29.9 KiB2023-08-07 09:01:50
materia-kde-kvantum-20220823-r0.apk30.0 KiB2023-03-19 22:40:15
py3-spotipy-2.24.0-r1.apk30.0 KiB2024-08-09 22:27:35
libcork-dev-0.15.0-r7.apk30.0 KiB2023-12-07 15:23:35
materia-dark-kde-kvantum-20220823-r0.apk30.0 KiB2023-03-19 22:40:15
ffms2-doc-2.40-r0.apk30.0 KiB2023-12-30 13:46:06
startup-bridge-dconf-2.0.3-r4.apk30.1 KiB2023-07-02 22:04:07
py3-liblarch-3.2.0-r5.apk30.1 KiB2024-08-07 12:44:46
srain-lang-1.7.0-r0.apk30.2 KiB2024-05-01 06:29:03
php84-zip-8.4.0_alpha4-r0.apk30.2 KiB2024-08-01 12:09:14
tree-sitter-ron-0_git20220508-r1.apk30.2 KiB2023-11-15 21:53:38
isomd5sum-1.2.3-r3.apk30.3 KiB2024-07-09 13:57:05
py3-pyte-0.8.2-r2.apk30.3 KiB2024-08-02 21:49:40
perl-statistics-descriptive-3.0801-r0.apk30.3 KiB2023-07-13 13:39:31
par-doc-1.53.0-r1.apk30.3 KiB2022-10-28 15:21:33
libxml++-dev-5.0.3-r1.apk30.3 KiB2023-04-30 21:30:24
py3-libnacl-pyc-2.1.0-r1.apk30.3 KiB2024-04-15 21:03:20
py3-gevent-websocket-pyc-0.10.1-r7.apk30.4 KiB2024-04-15 21:03:19
py3-findpython-pyc-0.6.1-r0.apk30.4 KiB2024-04-25 23:13:22
libmrss-dev-0.19.2-r1.apk30.4 KiB2021-07-18 09:15:10
musikcube-plugin-openmpt-3.0.4-r0.apk30.5 KiB2024-07-17 06:25:13
libaudec-static-0.3.4-r3.apk30.6 KiB2023-07-29 22:01:12
tang-dbg-14-r0.apk30.6 KiB2023-07-23 14:03:19
py3-livestream-pyc-2.0.0-r2.apk30.6 KiB2024-04-17 02:54:45
xfce4-fsguard-plugin-lang-1.1.3-r0.apk30.6 KiB2023-08-03 07:40:49
xfce4-systemload-plugin-1.3.2-r0.apk30.6 KiB2023-05-02 15:17:04
py3-ovos-config-0.0.12-r0.apk30.6 KiB2024-05-31 06:16:30
jbigkit-dev-2.1-r2.apk30.6 KiB2022-10-28 15:20:43
ocp-index-doc-1.3.6-r0.apk30.7 KiB2024-03-23 20:50:18
py3-daemon-3.0.1-r0.apk30.7 KiB2024-05-29 01:30:09
ldapdomaindump-pyc-0.9.4-r1.apk30.7 KiB2024-04-15 21:03:12
libsigrok-dev-0.5.2-r2.apk30.7 KiB2023-05-15 16:46:13
py3-rich-click-1.7.3-r1.apk30.7 KiB2024-04-15 21:03:25
mpvpaper-1.6-r0.apk30.7 KiB2024-07-07 05:40:07
php81-pecl-luasandbox-4.1.2-r0.apk30.9 KiB2024-04-11 00:39:23
py3-pymata4-pyc-1.15-r3.apk30.9 KiB2024-04-15 21:03:25
octoprint-pisupport-2023.10.10-r0.apk30.9 KiB2024-04-16 00:38:44
qt-jdenticon-0.3.0-r0.apk30.9 KiB2024-04-27 21:46:25
blip-doc-0.10-r0.apk30.9 KiB2019-06-14 17:30:36
libime-dev-1.1.8-r0.apk31.0 KiB2024-06-26 04:20:18
py3-sphinx-autoapi-3.2.1-r0.apk31.0 KiB2024-07-30 11:05:15
py3-telemetrix-pyc-1.20-r3.apk31.0 KiB2024-08-06 12:12:22
tcl-curl-7.22.0-r0.apk31.1 KiB2023-01-18 19:33:45
usbguard-dev-1.1.3-r1.apk31.1 KiB2024-07-14 22:15:40
libbamf-doc-0.5.6-r1.apk31.1 KiB2023-10-20 07:13:24
i3status-rust-doc-0.33.1-r0.apk31.1 KiB2024-04-08 19:59:23
php82-pecl-runkit7-4.0.0_alpha6-r1.apk31.2 KiB2024-03-30 06:39:00
ccrtp-doc-2.1.2-r0.apk31.3 KiB2022-06-04 21:00:18
lomiri-download-manager-lang-0.1.3-r3.apk31.3 KiB2024-06-26 03:46:51
createrepo_c-dev-1.0.2-r1.apk31.3 KiB2024-04-15 21:03:08
plplot-5.15.0-r2.apk31.4 KiB2022-10-28 15:21:37
paprefs-1.2-r1.apk31.4 KiB2023-08-01 04:55:08
mp3gain-1.6.2-r2.apk31.5 KiB2023-09-25 19:24:43
pounce-3.1-r3.apk31.5 KiB2024-01-03 14:12:47
perl-git-repository-doc-1.325-r0.apk31.5 KiB2024-02-05 16:09:43
materia-gnome-shell-20210322-r1.apk31.5 KiB2022-10-28 20:30:31
materia-dark-gnome-shell-20210322-r1.apk31.5 KiB2022-10-28 20:30:31
libfort-0.4.2-r0.apk31.5 KiB2021-10-03 06:14:34
py3-pymeta3-pyc-0.5.1-r6.apk31.5 KiB2024-08-08 18:20:05
lomiri-location-service-dev-3.1.0-r3.apk31.6 KiB2024-06-26 03:46:51
randrctl-pyc-1.9.0-r6.apk31.6 KiB2024-08-06 12:14:32
materia-dark-compact-gnome-shell-20210322-r1.apk31.6 KiB2022-10-28 20:30:31
lizardfs-cgi-3.13.0-r13.apk31.6 KiB2024-04-22 17:58:05
materia-compact-gnome-shell-20210322-r1.apk31.6 KiB2022-10-28 20:30:31
unit-php81-1.32.1-r0.apk31.6 KiB2024-04-10 16:20:09
unit-php84-1.32.1-r0.apk31.6 KiB2024-07-05 00:11:04
php83-pecl-zmq-1.1.4-r0.apk31.6 KiB2023-11-22 16:24:10
ocaml-mirage-profile-dev-0.9.1-r3.apk31.6 KiB2024-03-23 20:49:45
mm-common-doc-1.0.5-r0.apk31.7 KiB2023-01-01 22:06:33
mnamer-2.5.5-r1.apk31.7 KiB2024-04-15 21:03:16
ocaml-lwt_ssl-1.2.0-r0.apk31.7 KiB2024-04-22 06:13:56
dfl-sni-0.2.0-r0.apk31.7 KiB2023-12-30 13:27:00
ovos-gui-pyc-0.0.3_alpha5-r1.apk31.8 KiB2024-04-15 21:03:17
py3-pymaging-pyc-0.0.20130908-r9.apk31.8 KiB2024-04-15 21:03:25
php81-ldap-8.1.29-r0.apk31.9 KiB2024-06-06 20:04:14
py3-pysonic-pyc-1.0.1-r1.apk31.9 KiB2024-04-15 21:03:25
lomiri-trust-store-lang-2.0.2-r3.apk32.0 KiB2024-06-26 03:46:51
libmdf-1.0.27-r0.apk32.0 KiB2023-05-27 11:04:32
php81-pecl-igbinary-3.2.16-r0.apk32.0 KiB2024-08-10 23:43:54
libsymmetrica-dev-3.0.1-r2.apk32.1 KiB2023-08-01 15:19:33
py3-c3d-0.5.2-r1.apk32.1 KiB2024-04-15 21:03:18
py3-nptyping-pyc-2.5.0-r2.apk32.1 KiB2024-04-15 07:15:34
btfs-2.24-r12.apk32.1 KiB2024-04-22 17:57:59
py3-yapsy-1.12.2-r7.apk32.2 KiB2024-04-15 21:03:27
py3-radon-6.0.1-r1.apk32.2 KiB2024-04-15 21:03:25
linuxptp-nsm-4.3-r0.apk32.3 KiB2024-06-20 02:04:59
fox-calculator-1.6.57-r0.apk32.3 KiB2022-08-08 10:58:14
py3-pathvalidate-pyc-3.2.0-r1.apk32.3 KiB2024-04-15 21:03:25
py3-furl-pyc-2.1.3-r4.apk32.4 KiB2024-08-07 14:01:21
spacenavd-1.2-r0.apk32.4 KiB2023-02-25 14:09:23
handlebars-dev-1.0.0-r1.apk32.4 KiB2023-05-15 16:45:54
py3-mattermostdriver-pyc-7.3.2-r0.apk32.4 KiB2024-05-27 02:18:28
py3-discogs-client-pyc-2.7-r3.apk32.5 KiB2024-08-07 12:30:59
php84-pecl-igbinary-3.2.16-r0.apk32.5 KiB2024-08-10 23:43:54
py3-lunr-0.6.2-r4.apk32.5 KiB2024-08-06 12:12:22
py3-django-suit-pyc-0.2.28-r8.apk32.5 KiB2024-08-05 23:33:36
foolsm-1.0.21-r0.apk32.5 KiB2022-05-21 12:41:18
ocaml-hex-1.5.0-r2.apk32.5 KiB2024-03-23 20:49:35
ovos-audio-pyc-0.0.2_alpha42-r1.apk32.6 KiB2024-06-02 20:26:52
php84-ldap-8.4.0_alpha4-r0.apk32.6 KiB2024-08-01 12:09:14
perl-css-object-doc-0.1.6-r0.apk32.6 KiB2024-02-24 12:59:03
py3-euclid3-pyc-0.01-r7.apk32.6 KiB2024-04-15 21:03:18
py3-pysubs2-1.7.3-r0.apk32.6 KiB2024-07-29 04:00:58
ngs-aws-0.2.14-r0.apk32.7 KiB2022-10-08 22:04:52
py3-qt.py-1.3.10-r1.apk32.7 KiB2024-08-07 12:44:19
crazydiskinfo-1.1.0-r1.apk32.7 KiB2022-10-28 15:20:32
perl-opentracing-doc-1.006-r0.apk32.7 KiB2024-01-15 20:58:17
py3-pyautogui-0.9.53-r4.apk32.8 KiB2024-04-15 21:03:25
py3-ffmpeg-pyc-0.2.0-r4.apk32.8 KiB2024-08-08 18:20:05
moosefs-metalogger-3.0.117-r1.apk32.8 KiB2023-06-17 21:06:38
py3-libmdbx-pyc-0.10.2-r7.apk32.8 KiB2024-08-07 13:33:38
py3-hishel-0.0.30-r0.apk32.8 KiB2024-07-14 22:57:53
hddfancontrol-1.6.2-r0.apk32.8 KiB2024-06-27 20:33:43
py3-ovos-config-pyc-0.0.12-r0.apk32.9 KiB2024-05-31 06:16:30
cluster-glue-doc-1.0.12-r5.apk32.9 KiB2023-04-30 21:30:11
perl-mastodon-client-doc-0.017-r0.apk33.0 KiB2024-01-13 13:37:35
plattenalbum-2.1.1-r0.apk33.0 KiB2024-06-18 14:37:20
pptpclient-1.10.0-r5.apk33.0 KiB2024-06-10 06:08:21
power-profiles-daemon-0.20-r1.apk33.0 KiB2024-04-02 09:39:12
usbguard-notifier-0.1.1-r0.apk33.1 KiB2024-06-08 16:03:41
lomiri-api-0.2.1-r1.apk33.1 KiB2024-06-22 10:57:53
ocaml-ca-certs-0.2.2-r2.apk33.1 KiB2024-03-23 20:49:21
py3-mpd2-3.1.1-r2.apk33.1 KiB2024-08-06 12:18:35
php81-exif-8.1.29-r0.apk33.1 KiB2024-06-06 20:04:14
php84-exif-8.4.0_alpha4-r0.apk33.1 KiB2024-08-01 12:09:14
bakelite-0.4.2-r0.apk33.2 KiB2022-04-28 16:37:03
py3-protego-0.3.0-r1.apk33.2 KiB2024-04-15 21:03:25
fcitx5-chewing-5.1.5-r0.apk33.2 KiB2024-06-26 04:20:17
urlwatch-doc-2.28-r2.apk33.2 KiB2024-08-05 23:33:36
opentelemetry-cpp-exporter-otlp-common-1.11.0-r4.apk33.2 KiB2024-07-14 22:15:40
perl-json-validator-doc-5.14-r0.apk33.2 KiB2024-01-14 12:52:29
mpop-doc-1.4.20-r0.apk33.2 KiB2024-08-02 02:09:29
libm4ri-dev-20200125-r4.apk33.3 KiB2023-08-01 15:19:30
lomiri-indicator-location-lang-0_git20231227-r0.apk33.3 KiB2024-03-15 17:50:54
ocaml-mirage-clock-4.2.0-r2.apk33.3 KiB2024-03-23 20:49:45
lomiri-api-dev-0.2.1-r1.apk33.3 KiB2024-06-22 10:57:53
musikcube-plugin-taglibreader-3.0.4-r0.apk33.4 KiB2024-07-17 06:25:13
droidcam-gui-2.1.3-r0.apk33.4 KiB2024-04-05 23:22:41
apk-tools3-doc-3.0.0_pre2_git20240401-r1.apk33.4 KiB2024-05-19 23:22:00
py3-sphinxcontrib-cartouche-pyc-1.1.2-r7.apk33.5 KiB2024-04-15 21:03:26
fcitx5-gtk4-5.1.3-r0.apk33.5 KiB2024-05-10 03:34:30
py3-unicorn-2.0.1-r4.apk33.7 KiB2024-04-19 15:15:31
jhead-3.08-r0.apk33.7 KiB2023-07-23 14:03:45
jalv-gtk-1.6.8-r1.apk33.7 KiB2023-07-29 22:01:09
py3-dominate-pyc-2.9.1-r1.apk33.7 KiB2024-04-15 21:03:18
perl-mojolicious-plugin-openapi-doc-5.09-r0.apk33.8 KiB2024-01-14 12:52:29
py3-sphinxcontrib-httpdomain-pyc-1.8.1-r3.apk33.9 KiB2024-04-15 21:03:26
py3-xapp-2.4.1-r1.apk33.9 KiB2024-04-15 21:03:27
ttdl-doc-4.4.0-r0.apk34.0 KiB2024-07-19 16:03:18
aufs-util-doc-20161219-r2.apk34.0 KiB2023-12-07 15:23:35
jack_capture-0.9.73_git20210429-r2.apk34.0 KiB2022-07-01 02:16:46
php81-imap-8.1.29-r0.apk34.0 KiB2024-06-06 20:04:14
trantor-dev-1.5.18-r0.apk34.0 KiB2024-05-04 20:50:41
carburetor-lang-4.5.1-r0.apk34.0 KiB2024-07-14 17:29:47
py3-trivup-0.12.2-r2.apk34.0 KiB2024-08-07 12:29:32
hddfancontrol-pyc-1.6.2-r0.apk34.0 KiB2024-06-27 20:33:43
bcg729-1.1.1-r0.apk34.1 KiB2020-12-02 08:33:41
py3-pytest-postgresql-6.0.0-r0.apk34.1 KiB2024-06-09 10:33:29
libqtdbustest-0.3.2-r1.apk34.1 KiB2024-06-22 10:57:53
pipeline-lang-1.15.0-r0.apk34.1 KiB2024-05-28 22:45:12
bgpq4-1.12-r0.apk34.2 KiB2024-02-13 22:39:01
py3-mopidy-local-pyc-3.2.1-r4.apk34.2 KiB2024-08-05 23:33:36
py3-piccata-pyc-2.0.3-r1.apk34.2 KiB2024-04-15 21:03:25
trafficserver9-plugin-s3auth-9.2.4-r0.apk34.2 KiB2024-04-05 13:43:12
ocaml-ezxmlm-1.1.0-r0.apk34.2 KiB2024-04-22 06:13:55
ocaml-mirage-clock-dev-4.2.0-r2.apk34.3 KiB2024-03-23 20:49:45
libnbcompat-1.0.2-r0.apk34.3 KiB2024-06-20 14:35:23
aravis-dev-0.8.31-r0.apk34.3 KiB2024-03-16 17:38:25
caffeine-ng-lang-4.2.0-r1.apk34.4 KiB2024-04-16 01:32:48
usbmuxd-1.1.1-r6.apk34.4 KiB2024-02-05 19:31:55
tree-sitter-hare-0_git20230616-r1.apk34.4 KiB2023-11-15 21:53:38
tree-sitter-xml-0.6.4-r0.apk34.4 KiB2024-07-01 08:36:04
py3-redmine-2.4.0-r3.apk34.5 KiB2024-04-15 21:03:25
mono-lang-6.12.0.205-r1.apk34.6 KiB2023-07-29 22:01:28
sblim-sfcc-doc-2.2.8-r2.apk34.6 KiB2023-05-15 16:46:20
ruff-lsp-pyc-0.0.53-r0.apk34.7 KiB2024-04-16 11:19:34
dfl-applications-0.2.0-r0.apk34.7 KiB2023-12-30 13:27:00
py3-pysonic-1.0.1-r1.apk34.7 KiB2024-04-15 21:03:25
tabby-3.1-r1.apk34.8 KiB2023-11-25 23:42:02
pimd-doc-3.0_git20220201-r0.apk34.8 KiB2022-03-06 10:30:22
nwg-displays-pyc-0.3.13-r1.apk34.8 KiB2024-04-15 21:03:16
py3-limits-3.13.0-r1.apk34.8 KiB2024-08-07 12:45:45
fcitx5-gtk3-5.1.3-r0.apk34.8 KiB2024-05-10 03:34:30
vcstool-0.3.0-r5.apk34.8 KiB2024-04-15 21:03:33
mint-x-theme-xfwm4-2.1.1-r0.apk34.8 KiB2023-06-16 22:20:27
cocogitto-doc-6.1.0-r0.apk34.9 KiB2024-03-15 12:14:52
perl-net-mqtt-doc-1.163170-r0.apk34.9 KiB2024-04-16 17:38:40
dnsperf-doc-2.14.0-r0.apk34.9 KiB2024-01-19 09:40:22
py3-latex2mathml-pyc-3.77.0-r1.apk34.9 KiB2024-04-15 21:03:20
py3-pymaging-png-0.0.20130727-r10.apk35.0 KiB2024-08-06 12:27:29
py3-pillow_heif-pyc-0.17.0-r0.apk35.1 KiB2024-07-14 19:38:25
perl-ryu-doc-3.005-r0.apk35.1 KiB2024-01-15 20:58:17
libretro-freeintv-0_git20220319-r0.apk35.2 KiB2022-04-21 10:02:37
luapak-0.1.0_beta5-r0.apk35.2 KiB2017-07-28 21:03:42
lshell-pyc-0.9.18-r11.apk35.3 KiB2024-04-15 21:03:15
py3-cookiecutter-2.6.0-r1.apk35.4 KiB2024-04-15 21:03:18
mat2-0.13.4-r3.apk35.4 KiB2024-08-08 18:20:05
xfce4-hamster-plugin-1.17-r0.apk35.4 KiB2022-02-22 08:20:30
py3-bitstruct-8.19.0-r1.apk35.6 KiB2024-04-15 21:03:18
kodi-audioencoder-vorbis-20.2.0-r1.apk35.6 KiB2023-07-02 22:03:17
py3-tidalapi-0.7.4-r1.apk35.6 KiB2024-04-15 21:03:26
libcork-0.15.0-r7.apk35.6 KiB2023-12-07 15:23:35
py3-mopidy-tidal-pyc-0.3.2-r6.apk35.6 KiB2024-08-06 12:05:02
py3-dataclasses-json-pyc-0.6.7-r0.apk35.7 KiB2024-06-11 06:08:31
ocaml-rresult-dev-0.7.0-r2.apk35.7 KiB2024-03-23 20:50:03
php81-pecl-oauth-2.0.7-r0.apk35.7 KiB2022-05-10 20:26:50
litterbox-1.9-r1.apk35.7 KiB2023-04-08 02:34:00
gutenprint-dev-5.3.4-r4.apk35.7 KiB2024-07-23 19:22:35
razercfg-pyc-0.42-r6.apk35.8 KiB2024-04-15 21:03:27
dfu-programmer-1.1.0-r0.apk35.8 KiB2023-07-10 20:18:38
coventry-doc-0.8.1-r0.apk35.8 KiB2024-02-24 15:01:24
py3-pytaglib-1.5.0-r3.apk35.9 KiB2024-04-15 21:03:25
py3-librtmp-0.3.0-r6.apk36.0 KiB2024-04-15 21:03:20
qperf-0.4.11-r1.apk36.0 KiB2022-10-28 15:21:38
linuxptp-pmc-4.3-r0.apk36.1 KiB2024-06-20 02:04:59
spnavcfg-1.1-r0.apk36.1 KiB2023-02-13 06:42:43
hardened-malloc-12-r1.apk36.1 KiB2023-11-20 13:26:48
py3-mando-pyc-0.7.1-r2.apk36.1 KiB2024-04-15 21:03:24
linuxptp-ts2phc-4.3-r0.apk36.1 KiB2024-06-20 02:04:59
libmpfi-1.5.4-r2.apk36.1 KiB2023-08-01 15:19:30
xfce4-docklike-plugin-lang-0.4.2-r0.apk36.1 KiB2023-12-26 23:21:42
py3-cstruct-pyc-5.3-r1.apk36.1 KiB2024-04-15 21:03:18
moon-buggy-1.0.51-r1.apk36.2 KiB2022-10-28 15:21:06
ansible-bender-0.10.1-r2.apk36.3 KiB2024-04-15 07:15:08
peg-0.1.18-r1.apk36.3 KiB2022-10-28 15:21:33
php81-session-8.1.29-r0.apk36.3 KiB2024-06-06 20:04:14
ppl-1.2-r1.apk36.4 KiB2023-05-15 16:46:18
php81-pecl-rdkafka-6.0.3-r2.apk36.4 KiB2024-04-11 00:39:23
lshell-0.9.18-r11.apk36.5 KiB2024-04-15 21:03:15
py3-pygpgme-0.3.1-r9.apk36.5 KiB2024-08-05 23:33:36
linuxptp-phc2sys-4.3-r0.apk36.5 KiB2024-06-20 02:04:59
endless-sky-doc-0.10.2-r0.apk36.5 KiB2024-06-18 14:37:12
py3-pyqrcode-1.2.1-r0.apk36.6 KiB2024-05-08 22:37:11
burp-server-3.1.4-r0.apk36.6 KiB2023-03-18 21:43:35
php82-pecl-oauth-2.0.8-r0.apk36.6 KiB2022-12-12 16:42:51
py3-mopidy-jellyfin-pyc-1.0.4-r4.apk36.6 KiB2024-08-05 23:33:36
straw-viewer-doc-0.1.3-r3.apk36.7 KiB2024-06-10 06:08:21
trafficserver9-plugin-cachekey-9.2.4-r0.apk36.7 KiB2024-04-05 13:43:12
darts-clone-0_git20181117-r0.apk36.7 KiB2022-01-27 01:59:16
emacs-epkg-3.3.3_git20240713-r0.apk36.8 KiB2024-07-30 09:25:20
lomiri-calculator-app-lang-4.0.2-r0.apk36.8 KiB2024-03-15 17:50:53
perl-test-unit-0.27-r0.apk36.8 KiB2024-06-28 05:09:29
py3-qasync-0.19.0-r2.apk36.9 KiB2024-08-08 18:20:05
php81-pecl-xmlrpc-1.0.0_rc3-r2.apk36.9 KiB2024-07-12 17:28:42
py3-utils-pyc-3.8.1-r1.apk36.9 KiB2024-04-15 21:03:26
tractor-4.5.1-r0.apk36.9 KiB2024-07-14 17:29:47
php81-sockets-8.1.29-r0.apk36.9 KiB2024-06-06 20:04:14
php81-curl-8.1.29-r0.apk37.0 KiB2024-06-06 20:04:14
plfit-static-0.9.4-r2.apk37.0 KiB2023-08-01 15:19:51
py3-astral-3.2-r3.apk37.0 KiB2024-04-15 21:03:17
perl-libapreq2-doc-2.17-r2.apk37.1 KiB2024-06-10 06:08:21
normaliz-3.10.2-r1.apk37.2 KiB2024-04-22 17:58:11
py3-aesedb-0.1.6-r2.apk37.2 KiB2024-04-15 21:03:17
jedi-language-server-pyc-0.41.4-r0.apk37.4 KiB2024-04-17 11:21:48
rtptools-1.22-r2.apk37.5 KiB2022-10-28 15:21:40
py3-dexml-pyc-0.5.1-r9.apk37.5 KiB2024-04-15 21:03:18
perl-statistics-descriptive-doc-3.0801-r0.apk37.5 KiB2023-07-13 13:39:31
libmedc-dev-4.1.1-r4.apk37.5 KiB2024-06-18 14:37:18
arc-gtk2-20221218-r0.apk37.5 KiB2023-01-07 13:34:26
arc-lighter-gtk2-20221218-r0.apk37.5 KiB2023-01-07 13:34:26
csol-1.6.0-r0.apk37.5 KiB2023-03-16 01:55:51
perl-protocol-database-postgresql-doc-2.001-r0.apk37.6 KiB2024-01-27 15:48:50
libdjinterop-dev-0.20.2-r0.apk37.6 KiB2024-06-05 21:00:40
symbiyosys-0.36-r0.apk37.6 KiB2023-12-18 21:24:59
gmenuharness-0.1.4-r1.apk37.6 KiB2024-06-22 10:57:53
py3-pure_protobuf-pyc-3.0.1-r2.apk37.6 KiB2024-04-15 21:03:25
py3-spake2-0.8-r0.apk37.7 KiB2024-06-30 13:44:00
tcl-curl-doc-7.22.0-r0.apk37.7 KiB2023-01-18 19:33:45
trafficserver9-plugin-prefetch-9.2.4-r0.apk37.7 KiB2024-04-05 13:43:12
flamegraph-1.0_git20220918-r1.apk37.7 KiB2023-12-19 14:55:59
ecos-2.0.10-r0.apk37.7 KiB2022-05-23 20:54:19
py3-milc-pyc-1.8.0-r1.apk37.7 KiB2024-04-15 21:03:24
materia-dark-compact-gtk2-20210322-r1.apk37.7 KiB2022-10-28 20:30:31
materia-dark-gtk2-20210322-r1.apk37.7 KiB2022-10-28 20:30:31
linuxptp-doc-4.3-r0.apk37.8 KiB2024-06-20 02:04:59
materia-gtk2-20210322-r1.apk37.8 KiB2022-10-28 20:30:31
materia-compact-gtk2-20210322-r1.apk37.8 KiB2022-10-28 20:30:31
libhx-4.21-r0.apk37.9 KiB2023-12-23 12:59:02
xfce4-mpc-plugin-lang-0.5.3-r0.apk37.9 KiB2023-08-02 08:00:49
cpufetch-1.05-r0.apk37.9 KiB2024-02-06 15:32:38
php84-session-8.4.0_alpha4-r0.apk37.9 KiB2024-08-01 12:09:14
libtcmu-1.6.0-r5.apk37.9 KiB2023-09-01 07:39:56
slidge-matridge-pyc-0.1.0-r0.apk37.9 KiB2024-06-14 03:21:12
alttab-1.7.1-r0.apk37.9 KiB2023-06-16 22:18:17
fcitx5-lua-5.0.13-r0.apk38.0 KiB2024-05-10 03:34:30
py3-sh-2.0.6-r1.apk38.0 KiB2024-04-15 21:03:26
repo-doc-2.46-r0.apk38.1 KiB2024-07-04 19:07:31
logwatch-doc-7.10-r1.apk38.1 KiB2024-05-05 15:28:08
sigrok-cli-0.7.2-r0.apk38.1 KiB2022-09-19 10:28:26
ocaml-gmap-0.3.0-r2.apk38.2 KiB2024-03-23 20:49:34
turnstile-0.1.10-r1.apk38.2 KiB2024-08-10 21:46:48
plfit-libs-0.9.4-r2.apk38.2 KiB2023-08-01 15:19:51
wput-0.6.2-r4.apk38.3 KiB2022-10-14 15:08:59
arc-dark-gtk2-20221218-r0.apk38.4 KiB2023-01-07 13:34:26
zycore-dev-1.5.0-r0.apk38.4 KiB2024-04-05 22:35:43
libopensles-standalone-0_git20240221-r0.apk38.4 KiB2024-04-29 07:26:17
gf2x-1.3.0-r0.apk38.4 KiB2021-02-18 12:35:08
py3-fastdiff-0.3.0-r5.apk38.4 KiB2024-08-05 23:33:36
arc-darker-gtk2-20221218-r0.apk38.5 KiB2023-01-07 13:34:26
howard-bc-doc-6.7.5-r0.apk38.5 KiB2024-01-08 09:42:59
spampd-2.61-r1.apk38.5 KiB2022-10-02 14:56:55
extundelete-0.2.4-r1.apk38.5 KiB2022-10-14 15:08:45
libctl-dev-4.5.1-r1.apk38.6 KiB2023-05-15 16:46:11
ghc-filesystem-1.5.14-r0.apk38.6 KiB2024-06-25 12:02:44
ecasound-doc-2.9.3-r3.apk38.6 KiB2023-09-25 19:24:30
libbsoncxx-dev-3.8.0-r0.apk38.7 KiB2023-08-19 06:39:44
py3-twiggy-pyc-0.5.1-r4.apk38.7 KiB2024-08-08 18:20:05
wbg-1.2.0-r0.apk38.7 KiB2024-06-30 02:53:04
py3-manuel-1.12.4-r3.apk38.8 KiB2024-08-07 12:29:32
xfce4-systemload-plugin-lang-1.3.2-r0.apk38.8 KiB2023-05-02 15:17:04
sblg-0.5.11-r0.apk38.9 KiB2023-02-06 19:33:54
log4cpp-dev-1.1.4-r1.apk38.9 KiB2023-05-15 16:46:14
nfoview-2.0.1-r0.apk38.9 KiB2024-05-19 14:05:16
py3-mopidy-spotify-pyc-5.0.0_alpha2-r0.apk38.9 KiB2024-04-17 15:28:10
perl-net-pcap-0.21-r1.apk38.9 KiB2024-06-10 06:08:21
libserialport-dev-0.1.1-r1.apk39.0 KiB2022-02-06 09:44:19
theme.sh-1.1.5-r0.apk39.1 KiB2023-09-05 09:55:49
py3-zope-configuration-5.0.1-r2.apk39.1 KiB2024-04-15 21:03:27
libsigrokdecode-dev-0.5.3-r4.apk39.1 KiB2024-04-15 13:54:46
py3-python-iptables-1.0.1-r1.apk39.1 KiB2024-04-15 21:03:25
m17n-lib-dev-1.8.4-r2.apk39.1 KiB2024-08-02 17:04:38
paprefs-lang-1.2-r1.apk39.2 KiB2023-08-01 04:55:08
pixiewps-1.4.2-r1.apk39.2 KiB2022-07-26 05:59:07
prjtrellis-db-machxo-0_git20230929-r0.apk39.2 KiB2024-01-12 02:25:40
g4music-lang-3.8.1-r0.apk39.2 KiB2024-07-29 15:42:16
py3-rpio-0.10.1-r8.apk39.3 KiB2024-08-05 23:33:36
perl-net-curl-doc-0.56-r1.apk39.3 KiB2024-06-10 06:08:21
py3-empy-3.3.4-r7.apk39.3 KiB2024-08-08 18:20:05
optee-client-libs-3.20.0-r0.apk39.3 KiB2023-03-01 17:43:57
crossplane-pyc-0.5.8-r2.apk39.4 KiB2024-04-15 21:03:08
py3-pyte-pyc-0.8.2-r2.apk39.5 KiB2024-08-02 21:49:40
sturmreader-lang-3.7.2-r0.apk39.5 KiB2023-10-22 08:34:18
soapy-bladerf-0.4.1-r0.apk39.6 KiB2022-06-09 12:34:31
py3-compdb-pyc-0.2.0-r8.apk39.6 KiB2024-08-07 12:32:21
py3-fpdf-1.7.2-r5.apk39.7 KiB2024-04-15 21:03:19
apache2-mod-perl-dev-2.0.13-r1.apk39.8 KiB2024-06-10 06:08:21
bordeaux-dev-0.8.1-r0.apk40.0 KiB2024-02-26 21:07:12
php81-pecl-immutable_cache-6.1.0-r0.apk40.0 KiB2022-12-04 03:49:45
py3-sphobjinv-2.3.1.1-r0.apk40.1 KiB2024-05-22 14:09:34
ocaml-lwt-dllist-dev-1.0.1-r3.apk40.2 KiB2024-03-23 20:49:43
py3-feedgen-1.0.0-r1.apk40.2 KiB2024-04-15 21:03:18
py3-pyzor-1.0.0-r11.apk40.2 KiB2024-08-09 22:26:20
php82-pecl-immutable_cache-6.1.0-r0.apk40.2 KiB2022-12-04 03:49:45
py3-puremagic-1.26-r0.apk40.3 KiB2024-07-14 22:59:01
py3-pyvcd-pyc-0.4.0-r1.apk40.3 KiB2024-04-15 21:03:25
xfce4-verve-plugin-lang-2.0.3-r0.apk40.3 KiB2023-08-07 09:01:01
py3-rich-click-pyc-1.7.3-r1.apk40.3 KiB2024-04-15 21:03:25
avra-1.4.2-r0.apk40.4 KiB2023-08-21 07:01:43
ovos-gui-0.0.3_alpha5-r1.apk40.4 KiB2024-04-15 21:03:17
peervpn-0.044-r5.apk40.5 KiB2022-08-04 08:48:19
py3-flask-restless-0.17.0-r9.apk40.5 KiB2024-04-15 21:03:19
libtommath-1.2.1-r0.apk40.5 KiB2023-10-06 16:20:56
ocaml-ca-certs-dev-0.2.2-r2.apk40.6 KiB2024-03-23 20:49:21
supercollider-dev-3.13.0-r5.apk40.7 KiB2024-05-21 16:39:55
py3-ward-0.67.0_beta0-r2.apk40.7 KiB2024-04-15 21:03:26
py3-ovos-backend-client-0.1.0-r0.apk40.8 KiB2024-05-31 06:16:30
youtube-viewer-doc-3.11.1-r0.apk40.8 KiB2024-03-14 17:22:49
imapfilter-2.8.2-r0.apk40.9 KiB2023-12-31 19:41:59
py3-irc-20.4.1-r0.apk40.9 KiB2024-06-09 08:03:31
yices2-dev-2.6.4-r0.apk41.1 KiB2023-02-10 06:28:11
py3-unearth-0.16.1-r0.apk41.1 KiB2024-07-12 21:43:02
php84-sockets-8.4.0_alpha4-r0.apk41.1 KiB2024-08-01 12:09:14
py3-createrepo_c-1.0.2-r1.apk41.1 KiB2024-04-15 21:03:18
materia-dark-compact-gtk3-20210322-r1.apk41.2 KiB2022-10-28 20:30:31
materia-dark-gtk3-20210322-r1.apk41.2 KiB2022-10-28 20:30:31
php84-curl-8.4.0_alpha4-r0.apk41.3 KiB2024-08-01 12:09:13
py3-arpeggio-pyc-2.0.2-r2.apk41.3 KiB2024-08-05 23:33:36
py3-piper-tts-2023.11.14.2-r5.apk41.5 KiB2024-07-11 00:03:24
mimeo-pyc-2023-r2.apk41.6 KiB2024-08-04 21:31:54
imediff-2.6-r1.apk41.6 KiB2024-04-15 21:03:12
py3-markdown2-2.4.13-r1.apk41.6 KiB2024-04-15 21:03:24
lomiri-content-hub-lang-1.1.1-r1.apk41.6 KiB2024-06-22 10:57:53
font-monocraft-3.0-r0.apk41.7 KiB2023-06-16 22:19:23
openfortivpn-1.21.0-r0.apk41.7 KiB2024-02-09 01:01:25
reaction-tools-1.4.1-r1.apk41.7 KiB2024-07-04 08:22:37
kismet-nrf-51822-0.202307.1-r3.apk41.8 KiB2024-07-14 22:15:40
mergerfs-doc-2.40.2-r0.apk41.9 KiB2024-06-27 02:30:13
tree-sitter-make-0_git20211216-r2.apk41.9 KiB2023-11-15 21:53:38
dooit-2.2.0-r1.apk42.0 KiB2024-04-15 21:03:10
azpainter-doc-3.0.9-r0.apk42.0 KiB2024-08-07 21:18:53
cava-0.10.2-r0.apk42.0 KiB2024-07-30 12:48:14
py3-pyparted-pyc-3.13.0-r1.apk42.2 KiB2024-04-15 21:03:25
git-revise-pyc-0.7.0-r5.apk42.2 KiB2024-08-04 21:17:28
py3-dunamai-pyc-1.21.2-r0.apk42.2 KiB2024-06-29 12:58:04
py3-seqdiag-pyc-3.0.0-r5.apk42.2 KiB2024-04-15 21:03:26
sflowtool-6.02-r0.apk42.3 KiB2023-11-13 22:59:57
py3-openwisp-utils-pyc-1.0.4-r4.apk42.3 KiB2024-08-07 13:32:24
uxn-1.0-r0.apk42.3 KiB2024-03-23 19:25:15
py3-diskcache-5.6.3-r2.apk42.3 KiB2024-08-06 12:35:00
libfyaml-dev-0.9-r0.apk42.4 KiB2023-12-21 22:36:44
php81-pdo-8.1.29-r0.apk42.4 KiB2024-06-06 20:04:14
nuklear-doc-4.12.0-r0.apk42.4 KiB2024-02-18 01:31:45
volumeicon-0.5.1-r1.apk42.4 KiB2022-10-28 15:21:48
py3-progressbar2-pyc-4.2.0-r3.apk42.4 KiB2024-08-06 12:15:46
commoncpp-tools-7.0.1-r1.apk42.5 KiB2022-08-04 08:46:49
py3-colander-pyc-2.0-r2.apk42.5 KiB2024-08-06 12:42:10
py3-pbs-installer-2024.4.24-r0.apk42.5 KiB2024-05-30 22:34:14
py3-syrupy-4.6.1-r1.apk42.6 KiB2024-04-15 21:03:26
liberasurecode-1.6.3-r1.apk42.6 KiB2023-05-15 16:46:11
m17n-lib-tools-1.8.4-r2.apk42.7 KiB2024-08-02 17:04:38
opentelemetry-cpp-exporter-otlp-grpc-1.11.0-r4.apk42.7 KiB2024-07-14 22:15:40
py3-pebble-pyc-5.0.7-r1.apk42.7 KiB2024-04-15 21:03:25
py3-ovos-bus-client-0.0.8-r0.apk42.7 KiB2024-05-31 06:16:30
py3-pillow_heif-0.17.0-r0.apk42.8 KiB2024-07-14 19:38:25
py3-sphinx-theme-cloud-pyc-1.10.0-r2.apk42.8 KiB2024-04-15 21:03:26
fast_float-5.2.0-r1.apk42.8 KiB2023-08-01 15:19:17
mepo-scripts-1.2.1-r1.apk42.8 KiB2024-06-08 20:56:17
pebble-le-dev-0.3.0-r1.apk42.8 KiB2024-04-22 17:58:12
gpscorrelate-2.0_git20230605-r0.apk42.9 KiB2023-06-16 22:19:46
ocaml-rresult-0.7.0-r2.apk43.0 KiB2024-03-23 20:50:03
perl-extutils-xsbuilder-0.28-r5.apk43.1 KiB2023-07-03 22:52:45
primesieve-12.3-r0.apk43.1 KiB2024-05-01 17:11:16
hangover-wine-doc-9.5-r0.apk43.1 KiB2024-04-01 19:00:48
py3-coreapi-pyc-2.3.3-r9.apk43.3 KiB2024-08-06 12:46:18
py3-cdio-pyc-2.1.1-r5.apk43.3 KiB2024-08-06 12:36:22
libantic-0.2.5-r0.apk43.3 KiB2022-11-02 02:36:23
php81-mysqli-8.1.29-r0.apk43.3 KiB2024-06-06 20:04:14
hub-doc-2.14.2-r26.apk43.4 KiB2024-07-11 13:26:58
ocaml-mirage-kv-dev-4.0.1-r3.apk43.4 KiB2024-03-23 20:49:45
somebar-1.0.3-r0.apk43.4 KiB2023-06-16 22:21:57
xone-src-0.3_git20230517-r0.apk43.4 KiB2023-07-26 06:22:58
emacs-avy-0.5.0_git20230420-r0.apk43.4 KiB2024-04-02 09:39:09
granite7-dev-7.4.0-r0.apk43.5 KiB2023-12-23 12:59:02
kismet-nxp-kw41z-0.202307.1-r3.apk43.5 KiB2024-07-14 22:15:40
plzip-1.11-r0.apk43.6 KiB2024-01-25 15:53:14
rhasspy-nlu-0.4.0-r3.apk43.6 KiB2024-04-15 21:03:27
perl-net-xmpp-doc-1.05-r0.apk43.6 KiB2024-01-12 13:36:01
imediff-pyc-2.6-r1.apk43.7 KiB2024-04-15 21:03:12
py3-pysimplesoap-1.16.2-r7.apk43.7 KiB2024-08-06 12:33:52
py3-mistletoe-1.2.1-r2.apk43.8 KiB2024-08-05 23:33:36
apulse-0.1.13-r2.apk43.8 KiB2024-05-25 10:05:23
py3-sphinxcontrib-hydomain-pyc-0.1.0_git20230930-r1.apk43.8 KiB2024-04-15 21:03:26
litehtml-dev-0.9-r0.apk43.9 KiB2024-06-22 10:57:53
py3-rtree-pyc-1.1.0-r2.apk43.9 KiB2024-08-07 13:32:38
kodi-audioencoder-flac-20.2.0-r1.apk44.0 KiB2023-07-02 22:03:17
libbsoncxx-3.8.0-r0.apk44.0 KiB2023-08-19 06:39:44
perl-xml-stream-1.24-r0.apk44.0 KiB2024-01-03 19:16:40
sentinel-proxy-2.1.0-r0.apk44.1 KiB2023-11-18 17:32:24
py3-shodan-1.31.0-r1.apk44.1 KiB2024-04-15 21:03:26
sentinel-minipot-2.3.0-r1.apk44.1 KiB2023-12-13 18:13:20
s-postgray-0.8.3-r0.apk44.2 KiB2024-06-23 02:51:49
ocaml-duration-dev-0.2.0-r2.apk44.2 KiB2024-03-23 20:49:31
ocaml-happy-eyeballs-lwt-0.3.0-r3.apk44.2 KiB2024-03-23 20:49:34
perl-sql-abstract-doc-2.000001-r2.apk44.3 KiB2023-07-03 22:52:46
xfce4-panel-profiles-lang-1.0.14-r1.apk44.3 KiB2023-12-11 08:36:02
py3-bandwidth-sdk-3.1.0-r7.apk44.4 KiB2024-04-15 21:03:18
xfce4-netload-plugin-lang-1.4.1-r0.apk44.5 KiB2023-08-04 14:52:55
py3-bookkeeper-4.16.2-r2.apk44.5 KiB2024-08-06 12:05:27
ovos-dinkum-listener-pyc-0.0.2-r1.apk44.6 KiB2024-05-31 06:16:30
php81-pecl-memcache-8.2-r1.apk44.6 KiB2024-04-11 00:39:23
passes-0.10-r0.apk44.7 KiB2024-07-07 13:49:18
php84-mysqli-8.4.0_alpha4-r0.apk44.7 KiB2024-08-01 12:09:14
pantalaimon-0.10.5-r4.apk44.8 KiB2024-04-15 14:59:50
ubase-20200605-r2.apk44.8 KiB2022-10-28 15:21:48
lomiri-url-dispatcher-0.1.3-r2.apk44.8 KiB2024-06-22 10:57:53
py3-litex-hub-pythondata-cpu-minerva-2024.04-r0.apk44.8 KiB2024-07-05 20:32:58
php84-pecl-memcache-8.2-r0.apk44.8 KiB2024-07-05 17:42:19
php81-pgsql-8.1.29-r0.apk45.1 KiB2024-06-06 20:04:14
php84-pdo-8.4.0_alpha4-r0.apk45.1 KiB2024-08-01 12:09:14
dislocker-libs-0.7.3-r5.apk45.1 KiB2024-04-15 07:15:09
ettercap-doc-0.8.3.1-r3.apk45.1 KiB2024-07-13 03:58:38
py3-zipfile2-0.0.12-r0.apk45.2 KiB2024-07-22 21:12:50
py3-zope-schema-7.0.1-r3.apk45.3 KiB2024-08-05 23:33:36
py3-pycosat-0.6.6-r2.apk45.3 KiB2024-08-07 12:47:03
persistent-cache-cpp-1.0.7-r2.apk45.3 KiB2024-06-22 10:57:53
grommunio-index-1.0-r2.apk45.3 KiB2024-06-18 14:37:17
bananui-daemons-0.1.0-r0.apk45.3 KiB2023-10-06 05:49:06
sndfile-tools-1.5-r1.apk45.3 KiB2023-07-29 22:01:34
bitlbee-mastodon-1.4.5-r0.apk45.4 KiB2022-07-05 15:17:37
libreoffice-voikko-5.0_git20200127-r0.apk45.4 KiB2023-06-16 22:20:06
py3-pyautogui-pyc-0.9.53-r4.apk45.4 KiB2024-04-15 21:03:25
py3-aiowinreg-pyc-0.0.12-r0.apk45.4 KiB2024-05-13 07:58:29
fcitx5-chinese-addons-lang-5.1.6-r1.apk45.5 KiB2024-08-02 14:30:48
kismet-linux-bluetooth-0.202307.1-r3.apk45.6 KiB2024-07-14 22:15:40
nvim-packer-0.0.0_git20220910-r1.apk45.6 KiB2024-06-18 14:37:20
glfw-wayland-dev-3.3.8-r3.apk45.6 KiB2023-07-04 00:01:31
ovos-skill-hello-world-0.0.4_alpha3-r1.apk45.6 KiB2024-04-15 21:03:17
ocfs2-tools-dev-1.8.7-r3.apk45.9 KiB2024-07-12 02:41:37
lomiri-libusermetrics-lang-1.3.2-r1.apk45.9 KiB2024-06-22 10:57:53
emacs-hydra-0.15.0_git20220910-r0.apk46.0 KiB2024-04-02 09:39:09
py3-mopidy-mpd-3.3.0-r4.apk46.0 KiB2024-04-15 21:03:24
fcitx5-configtool-lang-5.1.6-r0.apk46.1 KiB2024-06-26 04:20:17
ocaml-stringext-1.6.0-r2.apk46.1 KiB2024-03-23 20:50:05
tree-sitter-hcl-1.1.0-r1.apk46.2 KiB2023-11-15 21:53:38
ruby-csv-3.3.0-r0.apk46.2 KiB2024-07-13 21:25:45
spiritvnc-0.6.4-r0.apk46.2 KiB2024-08-03 05:11:11
bionic_translation-0_git20240525-r0.apk46.3 KiB2024-05-28 10:54:14
fusesoc-2.3-r0.apk46.3 KiB2024-07-22 21:12:49
dublin-traceroute-0.4.2-r3.apk46.4 KiB2023-09-16 21:20:41
php81-pecl-memcached-3.2.0-r3.apk46.5 KiB2024-04-11 00:39:23
libqofono-dev-0.123-r1.apk46.5 KiB2024-06-22 10:57:53
pasystray-0.8.2-r0.apk46.6 KiB2024-06-28 05:23:54
gtkhash-lang-1.5-r0.apk46.6 KiB2022-10-01 21:16:48
dmarc-metrics-exporter-pyc-1.0.0-r2.apk46.7 KiB2024-04-15 21:03:10
endeavour-dev-43.0-r1.apk46.7 KiB2024-03-23 19:25:14
py3-gls-1.3.1-r1.apk46.8 KiB2024-04-15 21:03:19
py3-marshmallow-3.21.3-r0.apk46.8 KiB2024-06-11 06:08:31
php84-pecl-memcached-3.2.0-r0.apk46.8 KiB2024-07-05 17:42:19
gsettings-qt-0.2_git20220807-r1.apk46.8 KiB2024-06-22 10:57:53
fplll-5.4.5-r0.apk46.9 KiB2023-10-22 08:04:55
py3-distorm3-3.5.2-r6.apk46.9 KiB2024-08-08 18:20:05
gpg-remailer-3.04.07-r1.apk47.0 KiB2024-07-04 12:51:49
py3-ovos-ocp-files-plugin-0.13.0-r1.apk47.1 KiB2024-04-15 21:03:25
py3-rosdistro-0.9.0-r3.apk47.1 KiB2024-04-15 21:03:25
py3-yapsy-pyc-1.12.2-r7.apk47.1 KiB2024-04-15 21:03:27
clevis-19-r0.apk47.2 KiB2023-01-29 19:27:40
clinfo-3.0.23.01.25-r0.apk47.2 KiB2023-02-10 10:38:56
py3-pyqrcode-pyc-1.2.1-r0.apk47.3 KiB2024-05-08 22:37:11
ocaml-ethernet-3.0.0-r3.apk47.3 KiB2024-03-23 20:49:32
acmetool-doc-0.2.2-r8.apk47.3 KiB2024-07-04 08:22:19
postgresql-pg_partman-doc-5.0.0-r0.apk47.4 KiB2023-12-17 22:58:19
otf-atkinson-hyperlegible-doc-2020.0514-r0.apk47.5 KiB2020-12-01 19:48:39
py3-cookiecutter-pyc-2.6.0-r1.apk47.5 KiB2024-04-15 21:03:18
ocaml-lwt_ssl-dev-1.2.0-r0.apk47.5 KiB2024-04-22 06:13:56
py3-dogpile.cache-1.2.2-r2.apk47.5 KiB2024-08-09 22:29:32
py3-flask-limiter-pyc-3.7.0-r1.apk47.6 KiB2024-08-08 18:20:05
polyglot-doc-2.0.4-r1.apk47.6 KiB2023-08-01 15:19:51
startup-doc-2.0.3-r4.apk47.6 KiB2023-07-02 22:04:07
goomwwm-1.0.0-r4.apk47.7 KiB2024-04-11 23:37:35
perl-regexp-grammars-doc-1.058-r0.apk47.8 KiB2024-01-25 17:00:59
py3-dt-schema-pyc-2024.05-r0.apk47.9 KiB2024-06-16 00:32:12
py3-soappy-0.52.28-r3.apk48.0 KiB2024-08-09 22:11:57
py3-pbs-installer-pyc-2024.4.24-r0.apk48.0 KiB2024-05-30 22:34:14
spread-sheet-widget-0.8-r0.apk48.0 KiB2021-11-13 22:25:44
cvs-fast-export-1.65-r0.apk48.1 KiB2024-02-17 04:37:08
perl-net-async-redis-doc-6.000-r0.apk48.2 KiB2024-01-28 16:22:18
tremc-0.9.3-r0.apk48.2 KiB2022-03-17 23:19:00
watchdog-5.16-r1.apk48.3 KiB2023-03-16 01:58:37
perl-test-unit-doc-0.27-r0.apk48.3 KiB2024-06-28 05:09:29
ocaml-uuseg-dev-14.0.0-r2.apk48.3 KiB2024-03-23 20:50:10
levmar-dev-2.6-r0.apk48.3 KiB2022-04-06 11:37:51
bordeaux-generic-0.8.1-r0.apk48.3 KiB2024-02-26 21:07:12
ace-of-penguins-doc-1.4-r2.apk48.4 KiB2022-03-01 10:21:36
perl-net-jabber-doc-2.0-r0.apk48.4 KiB2024-01-12 13:36:01
i2util-dev-4.2.1-r1.apk48.4 KiB2022-10-28 15:20:42
py3-modbus-tk-pyc-1.1.1-r4.apk48.5 KiB2024-08-05 23:33:36
py3-zope-configuration-pyc-5.0.1-r2.apk48.5 KiB2024-04-15 21:03:27
ocaml-mtime-dev-1.4.0-r2.apk48.6 KiB2024-03-23 20:49:45
py3-distorm3-pyc-3.5.2-r6.apk48.7 KiB2024-08-08 18:20:05
urlwatch-2.28-r2.apk48.7 KiB2024-08-05 23:33:36
coxeter-3.0-r1.apk48.7 KiB2023-08-01 15:19:02
py3-pyvows-pyc-3.0.0-r5.apk48.8 KiB2024-08-07 12:29:32
parcellite-lang-1.2.5-r0.apk48.8 KiB2024-07-11 05:11:50
ocaml-uuidm-0.9.8-r2.apk48.8 KiB2024-03-23 20:50:10
barman-doc-3.10.1-r0.apk48.8 KiB2024-06-25 07:48:02
xfce4-timer-plugin-lang-1.7.2-r0.apk48.8 KiB2023-04-18 18:54:17
perl-minion-doc-10.30-r0.apk49.0 KiB2024-06-05 17:55:08
libbraiding-1.2-r2.apk49.0 KiB2023-08-01 15:19:29
aravis-0.8.31-r0.apk49.0 KiB2024-03-16 17:38:25
py3-osqp-dev-0.6.2-r6.apk49.0 KiB2024-08-05 23:33:36
libshadowsocks-libev-3.3.5-r4.apk49.1 KiB2024-04-15 07:15:33
lynis-doc-3.1.1-r0.apk49.2 KiB2024-03-18 00:13:30
apk-tools3-3.0.0_pre2_git20240401-r1.apk49.2 KiB2024-05-19 23:22:00
py3-python-jose-pyc-3.3.0-r2.apk49.3 KiB2024-04-15 13:09:34
xwaylandvideobridge-0.4.0-r1.apk49.4 KiB2024-03-03 23:18:13
libantlr3c-3.4-r3.apk49.5 KiB2023-05-15 16:46:08
py3-spotipy-pyc-2.24.0-r1.apk49.6 KiB2024-08-09 22:27:35
swaks-doc-20240103.0-r0.apk49.6 KiB2024-01-08 09:43:28
py3-radon-pyc-6.0.1-r1.apk49.7 KiB2024-04-15 21:03:25
calibre-zsh-completion-7.16.0-r0.apk49.8 KiB2024-07-31 16:58:49
opentelemetry-cpp-exporter-zipkin-1.11.0-r4.apk49.8 KiB2024-07-14 22:15:40
fox-pathfinder-1.6.57-r0.apk49.9 KiB2022-08-08 10:58:15
libzn_poly-0.9.2-r2.apk49.9 KiB2023-08-01 15:19:35
fiery-lang-1.1.2-r1.apk49.9 KiB2024-02-05 15:35:48
py3-zimscraperlib-3.2.0-r0.apk50.0 KiB2024-01-21 15:57:09
py3-liblarch-pyc-3.2.0-r5.apk50.0 KiB2024-08-07 12:44:46
fcitx5-hangul-5.1.4-r0.apk50.1 KiB2024-06-26 04:20:17
tcc-doc-0.9.27_git20240117-r0.apk50.1 KiB2024-01-26 06:27:08
xfce4-diskperf-plugin-lang-2.7.0-r0.apk50.4 KiB2023-08-03 04:58:37
milkytracker-doc-1.04.00-r2.apk50.4 KiB2024-03-23 19:25:14
pure-data-dev-0.54.1-r0.apk50.5 KiB2023-11-12 17:45:10
jalv-1.6.8-r1.apk50.6 KiB2023-07-29 22:01:09
py3-lunr-pyc-0.6.2-r4.apk50.6 KiB2024-08-06 12:12:22
perl-net-jabber-2.0-r0.apk50.6 KiB2024-01-12 13:36:01
perl-protocol-xmpp-doc-0.006-r0.apk50.8 KiB2024-01-16 19:43:26
sopwith-2.5.0-r0.apk50.8 KiB2024-05-05 20:23:37
createrepo_c-1.0.2-r1.apk50.8 KiB2024-04-15 21:03:08
tcc-dev-0.9.27_git20240117-r0.apk50.9 KiB2024-01-26 06:27:08
ocaml-bigstringaf-0.9.0-r2.apk51.0 KiB2024-03-23 20:49:19
py3-aiohttp-debugtoolbar-pyc-0.6.1-r2.apk51.2 KiB2024-08-05 23:33:36
ytmdl-2024.04.14-r1.apk51.3 KiB2024-08-07 13:44:26
py3-dnslib-0.9.24-r1.apk51.4 KiB2024-04-15 21:03:18
py3-tasklib-pyc-2.5.1-r2.apk51.5 KiB2024-04-15 21:03:26
clevis-dbg-19-r0.apk51.6 KiB2023-01-29 19:27:40
py3-redmine-pyc-2.4.0-r3.apk51.6 KiB2024-04-15 21:03:25
plfit-0.9.4-r2.apk51.7 KiB2023-08-01 15:19:51
php81-pecl-event-3.1.4-r0.apk51.8 KiB2024-07-16 07:50:26
castero-0.9.5-r3.apk51.9 KiB2024-07-26 02:14:54
py3-aioitertools-pyc-0.11.0-r0.apk51.9 KiB2024-05-27 02:18:28
py3-pymaging-png-pyc-0.0.20130727-r10.apk51.9 KiB2024-08-06 12:27:29
rkdeveloptool-1.1.0-r0.apk52.0 KiB2022-02-03 02:09:47
openwsman-2.7.2-r5.apk52.0 KiB2024-06-10 06:08:21
py3-sphobjinv-pyc-2.3.1.1-r0.apk52.1 KiB2024-05-22 14:09:34
php84-pecl-event-3.1.4-r0.apk52.1 KiB2024-07-16 07:50:26
ocaml-tsdl-image-0.6-r0.apk52.2 KiB2024-04-22 06:13:58
megatools-doc-1.11.1.20230212-r1.apk52.2 KiB2023-03-20 17:04:16
granite7-lang-7.4.0-r0.apk52.2 KiB2023-12-23 12:59:02
libunicode-dev-0.4.0-r0.apk52.3 KiB2024-01-19 00:29:39
py3-pypubsub-4.0.3-r0.apk52.4 KiB2024-05-04 13:42:06
pimd-dense-2.1.0-r0.apk52.6 KiB2023-01-14 01:44:57
ocaml-ezxmlm-dev-1.1.0-r0.apk52.6 KiB2024-04-22 06:13:55
libstirshaken-0_git20240208-r2.apk52.7 KiB2024-02-08 09:25:28
ocaml-merlin-extend-0.6.1-r2.apk52.7 KiB2024-03-23 20:49:45
ccrtp-dev-2.1.2-r0.apk52.7 KiB2022-06-04 21:00:18
py3-dep-logic-pyc-0.4.4-r0.apk52.8 KiB2024-07-22 21:59:42
epoch-1.3.0-r1.apk52.8 KiB2022-10-28 15:20:35
perl-dbix-class-helpers-2.036000-r3.apk52.8 KiB2023-07-03 22:52:45
gingerbase-lang-2.3.0-r7.apk52.9 KiB2024-04-15 21:03:10
libupstart-2.0.3-r4.apk52.9 KiB2023-07-02 22:03:22
py3-pyatem-0.5.0-r3.apk52.9 KiB2024-04-15 21:03:25
py3-pytube-15.0.0-r2.apk53.0 KiB2024-06-09 09:22:30
recoll-dev-1.37.5-r1.apk53.1 KiB2024-04-15 21:03:27
libmpfi-static-1.5.4-r2.apk53.1 KiB2023-08-01 15:19:30
libiio-0.25-r2.apk53.1 KiB2024-07-29 15:42:16
xfce4-fsguard-plugin-1.1.3-r0.apk53.1 KiB2023-08-03 07:40:49
timew-doc-1.4.3-r1.apk53.1 KiB2022-10-28 15:21:44
khronos-4.0.1-r0.apk53.1 KiB2023-10-14 23:22:41
py3-wstools-0.4.10-r7.apk53.2 KiB2024-08-06 12:59:54
simdutf-5.2.8-r0.apk53.2 KiB2024-06-25 16:22:50
postgresql-pg_variables-bitcode-1.2.4_git20220909-r2.apk53.5 KiB2023-10-04 05:52:05
py3-c3d-pyc-0.5.2-r1.apk53.7 KiB2024-04-15 21:03:18
py3-pyzor-pyc-1.0.0-r11.apk53.7 KiB2024-08-09 22:26:20
varnish-modules-0.24.0-r0.apk53.7 KiB2024-07-11 14:32:38
mcjoin-doc-2.11-r0.apk53.7 KiB2022-09-12 08:03:09
freediameter-dev-1.5.0-r1.apk53.8 KiB2022-11-06 10:59:40
kodi-game-libretro-beetle-pcfx-0.9.36.36-r0.apk53.8 KiB2023-07-02 22:03:17
shine-3.1.1-r0.apk53.8 KiB2017-08-24 22:59:06
qspectrumanalyzer-2.2.0-r5.apk53.8 KiB2024-08-05 23:33:36
libzn_poly-static-0.9.2-r2.apk53.9 KiB2023-08-01 15:19:35
mat2-pyc-0.13.4-r3.apk53.9 KiB2024-08-08 18:20:05
libarb-dev-2.23.0-r2.apk53.9 KiB2023-08-01 15:19:29
wasmtime-dev-22.0.0-r1.apk53.9 KiB2024-07-07 22:17:52
libucl-0.9.0-r0.apk53.9 KiB2024-02-02 21:16:18
waynergy-0.0.17-r0.apk53.9 KiB2024-05-09 20:47:31
xfce4-places-plugin-lang-1.8.3-r0.apk54.0 KiB2022-12-16 10:47:50
gtksourceviewmm4-dev-3.91.1-r2.apk54.1 KiB2023-04-14 14:16:28
cproc-0_git20230502-r0.apk54.1 KiB2023-05-13 22:14:12
py3-rospkg-pyc-1.2.9-r5.apk54.1 KiB2024-04-15 21:03:25
ocaml-mtime-1.4.0-r2.apk54.2 KiB2024-03-23 20:49:45
pfqueue-0.5.6-r1.apk54.3 KiB2022-10-14 15:08:54
metalang99-1.13.3-r0.apk54.3 KiB2023-05-16 12:28:51
limkd-0.1.2-r0.apk54.4 KiB2023-03-25 03:02:09
ocaml-hex-dev-1.5.0-r2.apk54.5 KiB2024-03-23 20:49:35
perl-net-async-redis-6.000-r0.apk54.5 KiB2024-01-28 16:22:18
pulsar-client-cpp-dev-3.1.2-r4.apk54.6 KiB2024-04-22 17:58:13
fabric-3.2.2-r1.apk54.6 KiB2024-04-15 21:03:10
nemo-qml-plugin-alarms-0.3.10-r1.apk54.7 KiB2021-11-01 16:09:58
nvim-cmp-0.0.0_git20221011-r1.apk54.8 KiB2024-06-18 14:37:20
asahi-fwextract-0.7.1-r0.apk54.9 KiB2024-04-16 11:35:07
belle-sip-dev-5.3.38-r0.apk54.9 KiB2024-04-15 07:15:09
trafficserver9-plugin-lua-9.2.4-r0.apk54.9 KiB2024-04-05 13:43:12
py3-trivup-pyc-0.12.2-r2.apk54.9 KiB2024-08-07 12:29:32
postgresql-hll-bitcode-2.18-r0.apk55.0 KiB2023-12-17 22:58:19
elfio-dev-3.12-r0.apk55.0 KiB2023-08-30 08:12:33
lua5.2-lanes-3.16.0-r1.apk55.0 KiB2024-04-04 11:35:19
kodi-vfs-sftp-20.2.0-r1.apk55.0 KiB2023-07-02 22:03:17
emacs-centaur-tabs-3.2_git20230601-r0.apk55.1 KiB2024-04-02 09:39:09
py3-sh-pyc-2.0.6-r1.apk55.1 KiB2024-04-15 21:03:26
portsmf-239-r1.apk55.1 KiB2023-03-18 21:44:22
lua5.4-lanes-3.16.0-r1.apk55.2 KiB2024-04-04 11:35:19
lua5.1-lanes-3.16.0-r1.apk55.2 KiB2024-04-04 11:35:19
ruby-sqlite3-2.0.2-r0.apk55.2 KiB2024-07-13 21:31:51
terminalpp-ropen-0.8.4-r0.apk55.3 KiB2022-10-13 03:21:59
lomiri-ui-extras-lang-0.6.3-r1.apk55.3 KiB2024-06-22 10:57:53
backup-manager-0.7.15-r1.apk55.3 KiB2022-10-28 15:20:31
lua5.3-lanes-3.16.0-r1.apk55.4 KiB2024-04-04 11:35:19
py3-catkin-pkg-0.5.2-r3.apk55.5 KiB2024-04-15 21:03:18
s-dkim-sign-0.6.2-r0.apk55.5 KiB2024-06-02 06:42:50
py3-zfs-autobackup-3.2.2-r1.apk55.5 KiB2024-04-15 21:03:27
php84-pgsql-8.4.0_alpha4-r0.apk55.5 KiB2024-08-01 12:09:14
sblim-sfcc-2.2.8-r2.apk55.6 KiB2023-05-15 16:46:20
py3-sphinx-autoapi-pyc-3.2.1-r0.apk55.6 KiB2024-07-30 11:05:15
xfce4-mailwatch-plugin-1.3.1-r1.apk55.7 KiB2023-10-31 11:12:58
bananui-2.0.0-r0.apk55.8 KiB2023-10-06 05:49:06
libvdpau-va-gl-0.4.2-r0.apk55.8 KiB2020-07-04 06:02:40
py3-qbittorrent-api-2024.5.62-r0.apk55.9 KiB2024-05-30 16:16:13
pam_mount-2.20-r1.apk56.0 KiB2024-07-25 16:36:55
py3-pyspinel-1.0.3-r1.apk56.0 KiB2024-04-15 21:03:25
ocaml-iso8601-0.2.6-r0.apk56.1 KiB2024-04-22 06:13:56
py3-qpageview-doc-0.6.2-r1.apk56.3 KiB2024-04-15 21:03:25
pympress-lang-1.8.5-r1.apk56.3 KiB2024-04-15 21:03:27
ocamlnet-tcl-4.1.9-r2.apk56.3 KiB2024-03-23 20:50:17
py3-scour-0.38.2-r1.apk56.4 KiB2024-04-15 21:03:25
php81-pecl-amqp-2.1.2-r0.apk56.4 KiB2024-04-11 00:39:22
php81-pecl-apcu-5.1.23-r2.apk56.4 KiB2024-06-04 00:37:16
git-extras-7.2.0-r0.apk56.5 KiB2024-05-13 07:58:29
xfce4-panel-profiles-1.0.14-r1.apk56.5 KiB2023-12-11 08:36:02
ocaml-ptmap-2.0.5-r3.apk56.6 KiB2024-03-23 20:49:56
php84-pecl-apcu-5.1.23-r0.apk56.7 KiB2024-07-04 13:36:05
coxeter-dev-3.0-r1.apk56.7 KiB2023-08-01 15:19:02
gtksourceviewmm3-dev-3.21.3-r2.apk56.8 KiB2023-04-14 14:16:28
php81-pecl-zephir_parser-1.6.1-r0.apk56.8 KiB2024-06-03 23:08:57
php82-pecl-zephir_parser-1.6.1-r0.apk56.8 KiB2024-06-03 23:08:57
py3-unicorn-pyc-2.0.1-r4.apk56.8 KiB2024-04-19 15:15:31
bordeaux-coventry-0.8.1-r0.apk56.8 KiB2024-02-26 21:07:12
liquibase-doc-4.9.1-r0.apk56.9 KiB2022-04-11 11:47:30
ocaml-uutf-dev-1.0.3-r2.apk56.9 KiB2024-03-23 20:50:11
compton-conf-0.16.0-r1.apk57.0 KiB2022-07-26 05:59:06
mesa-asahi-glapi-24.0.0_pre20240727-r0.apk57.1 KiB2024-07-30 19:04:55
lcalc-dev-2.0.5-r1.apk57.2 KiB2023-03-16 01:57:37
boxes-2.2.1-r0.apk57.3 KiB2023-09-06 13:12:01
kabmat-2.7.0-r0.apk57.3 KiB2023-05-07 06:38:56
py3-mpd2-pyc-3.1.1-r2.apk57.3 KiB2024-08-06 12:18:35
tinygltf-dev-2.9.2-r0.apk57.4 KiB2024-08-03 16:04:44
py3-pysubs2-pyc-1.7.3-r0.apk57.5 KiB2024-07-29 04:00:58
libnfc-1.8.0-r1.apk57.6 KiB2023-05-15 16:46:12
perl-libapreq2-dev-2.17-r2.apk57.6 KiB2024-06-10 06:08:21
serialdv-libs-1.1.4-r0.apk57.6 KiB2023-02-22 12:07:26
openwsman-dev-2.7.2-r5.apk57.7 KiB2024-06-10 06:08:21
perl-net-xmpp-1.05-r0.apk57.7 KiB2024-01-12 13:36:01
kfc-0.1.4-r0.apk57.7 KiB2023-06-16 22:19:58
vcstool-pyc-0.3.0-r5.apk57.7 KiB2024-04-15 21:03:33
mint-y-theme-metacity-2.1.1-r0.apk57.7 KiB2023-06-16 22:20:27
apt-dater-1.0.4-r3.apk57.9 KiB2023-04-30 21:30:08
py3-litex-hub-pythondata-misc-tapcfg-2024.04-r0.apk57.9 KiB2024-07-05 20:32:58
libfishsound-dev-1.0.0-r1.apk57.9 KiB2020-08-19 21:59:54
libm17n-core-1.8.4-r2.apk58.1 KiB2024-08-02 17:04:38
font-tiresias-doc-0_git20200704-r0.apk58.1 KiB2023-01-02 22:42:03
bitlbee-facebook-1.2.2-r0.apk58.2 KiB2022-10-01 23:52:31
ustr-1.0.4-r1.apk58.2 KiB2023-05-15 16:46:26
sbase-doc-0_git20210730-r2.apk58.3 KiB2022-10-28 15:21:40
tinyscheme-1.42-r1.apk58.3 KiB2022-10-14 15:08:56
libantlr3c-dev-3.4-r3.apk58.4 KiB2023-05-15 16:46:08
wiringx-0_git20240317-r1.apk58.4 KiB2024-03-24 14:50:46
py3-empy-pyc-3.3.4-r7.apk58.5 KiB2024-08-08 18:20:05
sloccount-2.26-r3.apk58.5 KiB2022-01-18 20:37:07
perl-glib-object-introspection-0.051-r1.apk58.5 KiB2024-06-10 06:08:21
anari-sdk-dev-0.7.2-r0.apk58.6 KiB2023-11-06 17:35:27
mrsh-libs-0_git20210518-r1.apk58.6 KiB2022-10-28 15:21:06
xfce4-mixer-lang-4.18.1-r2.apk58.8 KiB2023-10-31 11:12:58
py3-astral-pyc-3.2-r3.apk58.9 KiB2024-04-15 21:03:17
php84-doc-8.4.0_alpha4-r0.apk58.9 KiB2024-08-01 12:09:14
decoder-lang-0.5.1-r0.apk59.0 KiB2024-06-18 14:37:08
yodl-doc-4.02.00-r1.apk59.0 KiB2022-10-28 15:21:49
plplot-dev-5.15.0-r2.apk59.0 KiB2022-10-28 15:21:37
ttfautohint-gui-1.8.4-r0.apk59.0 KiB2024-05-06 10:51:24
py3-spake2-pyc-0.8-r0.apk59.0 KiB2024-06-30 13:44:00
py3-flask-restless-pyc-0.17.0-r9.apk59.1 KiB2024-04-15 21:03:19
hexer-1.4.0-r15.apk59.1 KiB2024-05-18 23:28:28
libiscsi-1.19.0-r2.apk59.2 KiB2023-05-15 16:46:11
libui-4.1_alpha20211213-r0.apk59.2 KiB2021-12-13 20:18:11
racksdb-0.4.0-r0.apk59.2 KiB2024-05-23 09:38:13
libxml++-5.0.3-r1.apk59.3 KiB2023-04-30 21:30:24
perl-json-validator-5.14-r0.apk59.3 KiB2024-01-14 12:52:29
nvim-lualine-0.0.0_git20221006-r1.apk59.4 KiB2024-06-18 14:37:20
xa-2.3.14-r0.apk59.4 KiB2023-03-18 21:44:41
sloccount-doc-2.26-r3.apk59.4 KiB2022-01-18 20:37:07
psftools-doc-1.1.2-r0.apk59.6 KiB2024-07-31 11:14:08
fdm-materials-5.2.2-r1.apk59.7 KiB2024-06-18 14:37:12
ocaml-happy-eyeballs-lwt-dev-0.3.0-r3.apk59.7 KiB2024-03-23 20:49:34
hare-cairo-0_git20240217-r0.apk59.9 KiB2024-07-23 01:14:09
py3-mapbox-earcut-1.0.1-r2.apk60.0 KiB2024-08-06 12:33:26
libsirocco-2.1.0-r2.apk60.0 KiB2023-08-01 15:19:32
fabric-pyc-3.2.2-r1.apk60.1 KiB2024-04-15 21:03:10
openscap-daemon-0.1.10-r9.apk60.2 KiB2024-04-15 21:03:17
py3-aiodocker-pyc-0.21.0-r1.apk60.4 KiB2024-04-15 21:03:17
apache-mod-auth-gssapi-1.6.5-r1.apk60.4 KiB2023-05-15 16:45:19
shntool-3.0.10-r4.apk60.4 KiB2022-10-08 13:37:12
rtl-power-fftw-20200601-r4.apk60.4 KiB2024-04-24 20:49:42
dialect-2.4.2-r0.apk60.4 KiB2024-07-29 04:04:31
mnamer-pyc-2.5.5-r1.apk60.5 KiB2024-04-15 21:03:16
mpdcron-dev-0.3-r1.apk60.6 KiB2022-10-28 15:21:06
py3-nikola-doc-8.3.1-r0.apk60.7 KiB2024-06-25 03:58:47
wlroots0.12-dev-0.12.0-r1.apk60.7 KiB2022-09-02 18:54:59
flightgear-doc-2020.3.19-r1.apk60.8 KiB2024-04-22 17:58:03
zydis-dev-4.1.0-r0.apk60.8 KiB2024-04-05 22:35:43
py3-unicrypto-0.0.10-r2.apk60.9 KiB2024-04-15 21:03:26
py3-zope-schema-pyc-7.0.1-r3.apk61.1 KiB2024-08-05 23:33:36
libabigail-doc-2.3-r0.apk61.2 KiB2023-05-03 10:33:10
perl-net-curl-0.56-r1.apk61.3 KiB2024-06-10 06:08:21
php81-pecl-ds-1.5.0-r0.apk61.3 KiB2024-04-11 00:39:22
nvtop-3.1.0-r0.apk61.3 KiB2024-02-24 12:59:03
gingerbase-pyc-2.3.0-r7.apk61.5 KiB2024-04-15 21:03:10
mimalloc1-insecure-1.8.6-r0.apk61.7 KiB2024-05-20 00:03:43
dcnnt-pyc-0.10.0-r1.apk61.7 KiB2024-04-15 21:03:10
py3-feedgen-pyc-1.0.0-r1.apk61.7 KiB2024-04-15 21:03:18
gf2x-dev-1.3.0-r0.apk61.7 KiB2021-02-18 12:35:08
guish-doc-2.6.10-r0.apk61.8 KiB2023-05-27 15:24:02
php81-dom-8.1.29-r0.apk61.8 KiB2024-06-06 20:04:14
font-tamzen-1.11.5-r1.apk61.9 KiB2022-10-08 15:26:18
curtail-lang-1.9.1-r0.apk62.0 KiB2024-04-15 21:03:10
notification-daemon-3.20.0-r0.apk62.1 KiB2024-05-19 13:12:02
sthttpd-2.27.1-r2.apk62.1 KiB2022-10-28 15:21:43
emacs-gnosis-0.3.2-r0.apk62.1 KiB2024-07-30 09:25:20
py3-tidalapi-pyc-0.7.4-r1.apk62.2 KiB2024-04-15 21:03:26
py3-markdown2-pyc-2.4.13-r1.apk62.2 KiB2024-04-15 21:03:24
sshuttle-1.1.2-r0.apk62.2 KiB2024-06-11 08:20:10
qspectrumanalyzer-pyc-2.2.0-r5.apk62.3 KiB2024-08-05 23:33:36
py3-ncclient-0.6.13-r4.apk62.4 KiB2024-04-15 21:03:24
py3-colander-2.0-r2.apk62.5 KiB2024-08-06 12:42:10
htmlcxx-0.87-r1.apk62.5 KiB2022-10-14 15:08:53
libofx-0.10.9-r1.apk63.0 KiB2023-08-26 11:15:53
ocaml-easy-format-1.3.4-r1.apk63.0 KiB2024-03-23 20:49:31
py3-pyspinel-pyc-1.0.3-r1.apk63.0 KiB2024-04-15 21:03:25
rapidfuzz-3.0.0-r0.apk63.1 KiB2024-01-03 16:14:22
perl-sql-abstract-2.000001-r2.apk63.1 KiB2023-07-03 22:52:46
cvise-pyc-2.8.0-r2.apk63.4 KiB2023-07-29 22:00:14
py3-minidump-0.0.23-r1.apk63.4 KiB2024-04-15 21:03:24
moosefs-cgi-3.0.117-r1.apk63.5 KiB2023-06-17 21:06:38
kodi-game-libretro-desmume-0.0.1.28-r0.apk63.6 KiB2023-07-02 22:03:17
kismet-linux-wifi-0.202307.1-r3.apk63.8 KiB2024-07-14 22:15:40
lomiri-terminal-app-lang-2.0.2-r1.apk63.8 KiB2024-06-22 10:57:53
git-extras-doc-7.2.0-r0.apk63.9 KiB2024-05-13 07:58:29
pqiv-2.12-r1.apk64.0 KiB2022-10-28 15:21:38
kodi-game-libretro-beetle-psx-0.9.44.53-r0.apk64.0 KiB2023-07-02 22:03:17
py3-caldav-1.3.9-r1.apk64.0 KiB2024-04-15 21:03:18
ffms2-2.40-r0.apk64.1 KiB2023-12-30 13:46:05
xfce4-calculator-plugin-0.7.2-r0.apk64.2 KiB2023-04-19 17:45:19
fcitx5-qt-5.1.6-r0.apk64.2 KiB2024-05-10 03:34:30
pebble-le-0.3.0-r1.apk64.2 KiB2024-04-22 17:58:12
rosdep-0.19.0-r5.apk64.3 KiB2024-04-15 21:03:27
masky-pyc-0.2.0-r1.apk64.3 KiB2024-04-15 21:03:15
ueberzug-pyc-18.2.3-r0.apk64.4 KiB2024-05-24 17:43:08
materia-compact-gtk3-20210322-r1.apk64.5 KiB2022-10-28 20:30:31
ueberzug-18.2.3-r0.apk64.6 KiB2024-05-24 17:43:08
lua5.2-penlight-doc-1.3.0-r1.apk64.6 KiB2022-07-26 05:59:07
materia-gtk3-20210322-r1.apk64.7 KiB2022-10-28 20:30:31
charls-2.4.2-r0.apk64.7 KiB2023-11-06 17:35:53
curlpp-dev-0.8.1-r1.apk64.7 KiB2022-10-28 15:20:33
py3-sphinx-theme-bw-0.1.8-r7.apk64.8 KiB2024-04-15 21:03:26
megatools-1.11.1.20230212-r1.apk64.8 KiB2023-03-20 17:04:16
moosefs-doc-3.0.117-r1.apk64.8 KiB2023-06-17 21:06:38
ansible-bender-pyc-0.10.1-r2.apk65.0 KiB2024-04-15 07:15:08
libqb-2.0.8-r0.apk65.0 KiB2023-08-03 16:08:54
gufw-pyc-24.04-r2.apk65.2 KiB2024-08-07 12:44:04
wlvncc-0.0.0_git20230105-r1.apk65.2 KiB2024-06-18 14:37:21
lomiri-terminal-app-2.0.2-r1.apk65.4 KiB2024-06-22 10:57:53
fcitx5-m17n-5.1.1-r0.apk65.5 KiB2024-05-10 03:34:30
bliss-0.77-r1.apk65.5 KiB2023-08-01 15:19:01
jbigkit-2.1-r2.apk65.6 KiB2022-10-28 15:20:43
perl-regexp-grammars-1.058-r0.apk65.6 KiB2024-01-25 17:00:59
perl-gtk2-ex-widgetbits-48-r3.apk65.7 KiB2023-07-03 22:52:45
clipit-1.4.5-r2.apk65.8 KiB2023-04-16 18:48:46
glfw-wayland-3.3.8-r3.apk66.0 KiB2023-07-04 00:01:31
polyglot-2.0.4-r1.apk66.0 KiB2023-08-01 15:19:51
swaks-20240103.0-r0.apk66.1 KiB2024-01-08 09:43:28
perl-snmp-5.0404-r13.apk66.3 KiB2024-06-10 06:08:21
hyprwayland-scanner-0.3.10-r0.apk66.4 KiB2024-06-27 05:58:10
heisenbridge-1.14.6-r0.apk66.6 KiB2024-06-02 17:39:48
libtommath-dev-1.2.1-r0.apk66.6 KiB2023-10-06 16:20:56
libiml-1.0.5-r3.apk66.7 KiB2023-08-01 15:19:30
mimalloc1-1.8.6-r0.apk67.0 KiB2024-05-20 00:03:43
ocaml-tsdl-ttf-0.6-r0.apk67.1 KiB2024-04-22 06:13:58
horizon-image-0.9.6-r9.apk67.1 KiB2024-04-22 17:58:03
aravis-viewer-0.8.31-r0.apk67.1 KiB2024-03-16 17:38:26
py3-diskcache-pyc-5.6.3-r2.apk67.1 KiB2024-08-06 12:35:00
twemproxy-0.5.0-r0.apk67.5 KiB2022-04-30 08:20:34
muon-doc-0.2.0-r2.apk67.6 KiB2024-03-27 21:18:22
php81-doc-8.1.29-r0.apk67.6 KiB2024-06-06 20:04:14
ocaml-sha-1.15.4-r0.apk67.7 KiB2024-03-23 20:50:05
py3-bookkeeper-pyc-4.16.2-r2.apk67.7 KiB2024-08-06 12:05:27
libexmdbpp-1.11-r1.apk67.7 KiB2024-05-17 01:44:41
libnbcompat-dev-1.0.2-r0.apk67.8 KiB2024-06-20 14:35:23
lrzsz-0.12.20-r2.apk67.9 KiB2022-10-28 15:21:02
py3-python-iptables-pyc-1.0.1-r1.apk67.9 KiB2024-04-15 21:03:25
perl-io-lambda-doc-1.33-r0.apk67.9 KiB2024-04-16 11:30:54
policycoreutils-3.6-r0.apk68.0 KiB2024-01-08 09:43:00
ding-libs-dev-0.6.2-r4.apk68.0 KiB2023-11-12 12:20:17
arc-cinnamon-20221218-r0.apk68.0 KiB2023-01-07 13:34:26
py3-pystache-0.6.5-r1.apk68.1 KiB2024-04-15 21:03:25
idesk-1-r1.apk68.2 KiB2022-10-14 15:08:53
postgresql16-wal2json-2.6-r0.apk68.2 KiB2024-07-09 15:14:33
xsoldier-1.8-r2.apk68.2 KiB2024-07-12 02:41:37
trafficserver9-plugin-headerrewrite-9.2.4-r0.apk68.3 KiB2024-04-05 13:43:12
hping3-20051105-r4.apk68.4 KiB2017-07-13 14:26:53
py3-minio-7.2.0-r1.apk68.4 KiB2024-04-15 21:03:24
arc-dark-cinnamon-20221218-r0.apk68.4 KiB2023-01-07 13:34:26
howard-bc-6.7.5-r0.apk68.5 KiB2024-01-08 09:42:59
piper-phonemize-libs-2023.11.14.4-r3.apk68.5 KiB2024-07-11 00:03:24
atlantik-lang-3.5.10_git20240323-r0.apk68.6 KiB2024-03-23 19:25:14
tuxedo-drivers-src-4.5.1-r0.apk68.7 KiB2024-06-09 21:27:10
mpop-1.4.20-r0.apk68.7 KiB2024-08-02 02:09:29
nzbget-doc-21.1-r2.apk68.8 KiB2023-04-30 21:30:27
nymphcast-mediaserver-0.1-r2.apk68.8 KiB2023-01-08 06:08:00
planarity-libs-3.0.2.0-r2.apk68.9 KiB2023-08-01 15:19:51
log4cpp-1.1.4-r1.apk69.0 KiB2023-05-15 16:46:14
php81-openssl-8.1.29-r0.apk69.1 KiB2024-06-06 20:04:14
py3-bandwidth-sdk-pyc-3.1.0-r7.apk69.3 KiB2024-04-15 21:03:18
libqtdbusmock-0.9.1-r1.apk69.3 KiB2024-06-22 10:57:53
debconf-1.5.82-r0.apk69.4 KiB2023-03-16 14:38:28
py3-lsprotocol-2023.0.1-r1.apk69.5 KiB2024-04-15 21:03:24
libneo4j-client-2.2.0-r3.apk69.5 KiB2022-08-21 01:34:47
subliminal-2.2.0-r0.apk69.5 KiB2024-06-26 20:26:40
py3-zimscraperlib-pyc-3.2.0-r0.apk69.7 KiB2024-01-21 15:57:09
py3-zfs-autobackup-pyc-3.2.2-r1.apk69.8 KiB2024-04-15 21:03:27
py3-syrupy-pyc-4.6.1-r1.apk69.8 KiB2024-04-15 21:03:26
ocfs2-tools-doc-1.8.7-r3.apk69.8 KiB2024-07-12 02:41:37
ginac-dev-1.8.7-r1.apk69.9 KiB2024-01-28 16:22:00
py3-hg-git-1.1.1-r1.apk70.1 KiB2024-04-15 21:03:19
py3-blockdiag-3.0.0-r5.apk70.3 KiB2024-07-05 13:42:24
tree-sitter-caddy-0_git20230322-r0.apk70.4 KiB2023-12-16 02:06:19
trafficserver9-plugin-combohandler-9.2.4-r0.apk70.4 KiB2024-04-05 13:43:12
wlroots0.15-dev-0.15.1-r6.apk70.4 KiB2023-07-03 16:36:04
wget2-doc-2.1.0-r0.apk70.5 KiB2023-09-04 10:04:05
xdg-ninja-0.2.0.2-r0.apk70.5 KiB2024-02-05 06:11:08
qml-asteroid-2.0.0-r0.apk70.6 KiB2023-08-31 09:41:35
getmail6-6.19.03-r0.apk70.9 KiB2024-07-18 10:17:27
ocaml-jsonm-dev-1.0.2-r0.apk70.9 KiB2024-04-22 06:13:56
libiml-static-1.0.5-r3.apk71.0 KiB2023-08-01 15:19:30
py3-irc-pyc-20.4.1-r0.apk71.0 KiB2024-06-09 08:03:31
normaliz-dev-3.10.2-r1.apk71.0 KiB2024-04-22 17:58:11
hfst-doc-3.16.0-r2.apk71.1 KiB2024-04-15 21:03:11
libnest2d-dev-0.4-r6.apk71.2 KiB2024-04-22 17:58:05
py3-hishel-pyc-0.0.30-r0.apk71.3 KiB2024-07-14 22:57:53
perl-cairo-1.109-r4.apk71.3 KiB2024-06-10 06:08:21
py3-ovos-plugin-manager-0.0.25-r0.apk71.5 KiB2024-05-31 06:16:30
opentelemetry-cpp-exporter-otlp-http-1.11.0-r4.apk71.6 KiB2024-07-14 22:15:40
dnsperf-2.14.0-r0.apk71.7 KiB2024-01-19 09:40:22
tmate-doc-2.4.0-r4.apk71.7 KiB2023-03-05 02:21:09
xfce4-docklike-plugin-0.4.2-r0.apk71.9 KiB2023-12-26 23:21:42
ocaml-mew-0.1.0-r3.apk72.0 KiB2024-03-23 20:49:45
opendht-dev-3.1.7-r2.apk72.1 KiB2024-04-15 21:03:17
drawpile-doc-2.2.1-r1.apk72.2 KiB2024-05-29 17:41:15
fastd-22-r3.apk72.2 KiB2023-10-22 04:18:36
apache2-mod-perl-dbg-2.0.13-r1.apk72.2 KiB2024-06-10 06:08:21
py3-latex2mathml-3.77.0-r1.apk72.3 KiB2024-04-15 21:03:20
fuzzylite-dev-6.0-r0.apk72.3 KiB2023-04-17 12:06:01
py3-limits-pyc-3.13.0-r1.apk72.3 KiB2024-08-07 12:45:45
py3-apio-0.9.5-r0.apk72.4 KiB2024-06-23 02:59:36
hypnotix-lang-3.5-r0.apk72.4 KiB2023-07-18 00:30:28
py3-openapi-core-0.19.2-r0.apk72.4 KiB2024-06-27 18:18:48
mxclient-0_git20211002-r1.apk72.6 KiB2022-10-28 15:21:06
py3-ovos-bus-client-pyc-0.0.8-r0.apk72.8 KiB2024-05-31 06:16:30
rhasspy-nlu-pyc-0.4.0-r3.apk72.9 KiB2024-04-15 21:03:27
nmon-16q-r0.apk73.0 KiB2024-04-30 03:47:16
deutex-5.2.2-r2.apk73.2 KiB2024-07-27 04:15:22
ustr-debug-1.0.4-r1.apk73.3 KiB2023-05-15 16:46:26
libeantic-2.0.2-r1.apk73.3 KiB2024-04-22 17:58:03
py3-jsonschema417-4.17.3-r1.apk73.3 KiB2024-04-15 21:03:19
screenkey-pyc-1.5-r6.apk73.4 KiB2024-04-15 21:03:27
py3-tg-0.19.0-r5.apk73.4 KiB2024-08-06 12:34:42
spiped-1.6.2-r0.apk73.4 KiB2024-07-02 02:42:04
topgit-doc-0.19.13-r1.apk73.4 KiB2022-10-28 15:21:44
php81-ffi-8.1.29-r0.apk73.5 KiB2024-06-06 20:04:14
libiscsi-static-1.19.0-r2.apk73.5 KiB2023-05-15 16:46:11
viewnior-1.8-r1.apk73.5 KiB2023-06-16 22:22:13
py3-scour-pyc-0.38.2-r1.apk73.8 KiB2024-04-15 21:03:25
libwbxml-0.11.8-r0.apk73.8 KiB2022-03-19 09:15:46
kodi-game-libretro-dosbox-0.74.0.25-r0.apk73.8 KiB2023-07-02 22:03:17
py3-mopidy-mpd-pyc-3.3.0-r4.apk73.8 KiB2024-04-15 21:03:24
php84-openssl-8.4.0_alpha4-r0.apk74.0 KiB2024-08-01 12:09:14
trafficserver9-plugin-esi-9.2.4-r0.apk74.3 KiB2024-04-05 13:43:12
thefuck-3.32-r3.apk74.3 KiB2024-04-15 21:03:33
j4-dmenu-desktop-3.0-r0.apk74.6 KiB2024-08-01 12:33:44
vcdimager-doc-2.0.1-r3.apk74.6 KiB2023-04-30 21:31:21
zsh-fast-syntax-highlighting-1.55_git20230705-r0.apk74.7 KiB2023-12-17 12:10:52
ocaml-eqaf-0.8-r2.apk74.8 KiB2024-03-23 20:49:32
tpm2-pkcs11-pyc-1.9.0-r1.apk74.9 KiB2023-04-22 16:11:04
ocaml-gmap-dev-0.3.0-r2.apk75.1 KiB2024-03-23 20:49:34
perl-net-amqp-rabbitmq-2.40011-r0.apk75.1 KiB2024-06-24 02:15:44
soundconverter-pyc-4.0.5-r0.apk75.1 KiB2024-07-04 08:22:38
proot-5.4.0-r0.apk75.2 KiB2023-06-16 22:21:07
ledmon-0.97-r1.apk75.3 KiB2023-12-18 10:40:35
libfishsound-doc-1.0.0-r1.apk75.3 KiB2020-08-19 21:59:54
endeavour-doc-43.0-r1.apk75.3 KiB2024-03-23 19:25:14
xsecurelock-1.9.0-r1.apk75.4 KiB2024-04-11 23:37:36
purple-facebook-0.9.6-r0.apk75.5 KiB2020-07-23 12:28:59
perl-io-lambda-1.33-r0.apk75.5 KiB2024-04-16 11:30:54
py3-doit-0.36.0-r4.apk75.5 KiB2024-04-15 21:03:18
fcitx5-rime-5.1.8-r0.apk75.5 KiB2024-06-26 04:20:17
libgivaro-4.2.0-r2.apk75.7 KiB2023-08-01 15:19:29
py3-aesedb-pyc-0.1.6-r2.apk75.7 KiB2024-04-15 21:03:17
musikcube-plugin-httpdatastream-3.0.4-r0.apk75.7 KiB2024-07-17 06:25:13
php84-ffi-8.4.0_alpha4-r0.apk75.8 KiB2024-08-01 12:09:14
nb-doc-7.12.1-r0.apk75.9 KiB2024-02-23 23:35:59
way-displays-1.8.1-r2.apk76.0 KiB2023-09-11 09:21:41
ocaml-ptime-dev-1.0.0-r2.apk76.0 KiB2024-03-23 20:49:56
cpplint-1.6.1_git20240320-r1.apk76.4 KiB2024-04-15 21:03:08
py3-osqp-pyc-0.6.2-r6.apk76.5 KiB2024-08-05 23:33:36
p0f-3.09b-r2.apk76.8 KiB2022-10-28 15:21:33
gamemode-0_git20240327-r0.apk77.0 KiB2024-06-04 11:51:24
screenkey-1.5-r6.apk77.0 KiB2024-04-15 21:03:27
py3-confluent-kafka-pyc-1.8.2-r5.apk77.0 KiB2024-08-08 18:20:05
aide-0.18.8-r0.apk77.1 KiB2024-05-10 15:20:57
monetdb-dev-11.33.11-r4.apk77.1 KiB2023-04-30 21:30:27
ocaml-domain-name-0.4.0-r2.apk77.2 KiB2024-03-23 20:49:31
ckb-next-daemon-0.6.0-r1.apk77.2 KiB2023-07-19 19:10:52
csfml-dev-2.5.2-r0.apk77.2 KiB2023-07-02 22:01:25
py3-apio-pyc-0.9.5-r0.apk77.2 KiB2024-06-23 02:59:36
ding-libs-0.6.2-r4.apk77.3 KiB2023-11-12 12:20:17
razercfg-0.42-r6.apk77.4 KiB2024-04-15 21:03:27
hidrd-0.2.0_git20190603-r1.apk77.4 KiB2022-10-28 15:20:42
py3-dogpile.cache-pyc-1.2.2-r2.apk77.4 KiB2024-08-09 22:29:32
gammastep-lang-2.0.9-r3.apk77.5 KiB2024-04-15 21:03:10
py3-pyparted-3.13.0-r1.apk77.8 KiB2024-04-15 21:03:25
btpd-0.16-r2.apk77.8 KiB2022-08-04 08:46:38
cln-doc-1.3.7-r0.apk77.8 KiB2024-01-28 16:21:56
py3-nwdiag-pyc-3.0.0-r3.apk77.9 KiB2024-08-05 23:33:36
linuxptp-ptp4l-4.3-r0.apk78.0 KiB2024-06-20 02:04:59
pypy-dev-7.3.12-r0.apk78.1 KiB2023-06-16 22:21:23
py3-pysimplesoap-pyc-1.16.2-r7.apk78.2 KiB2024-08-06 12:33:52
yaru-theme-hdpi-23.10.0-r0.apk78.2 KiB2024-04-18 02:06:45
ocaml-tsdl-image-dev-0.6-r0.apk78.4 KiB2024-04-22 06:13:58
ocaml-stringext-dev-1.6.0-r2.apk78.4 KiB2024-03-23 20:50:05
perl-pango-1.227-r11.apk78.4 KiB2024-06-10 06:08:21
lua5.1-luastatic-0.0.12-r1.apk78.6 KiB2022-10-28 15:21:02
ccze-0.2.1-r1.apk78.7 KiB2022-09-07 20:39:03
surfraw-2.3.0-r0.apk78.7 KiB2023-08-01 20:41:23
ytmdl-pyc-2024.04.14-r1.apk78.8 KiB2024-08-07 13:44:26
py3-dt-schema-2024.05-r0.apk78.9 KiB2024-06-16 00:32:12
flowd-0.9.1-r10.apk78.9 KiB2024-06-10 06:08:21
py3-youtube-search-1.6.6-r4.apk79.1 KiB2024-08-09 22:27:35
php84-mysqlnd-8.4.0_alpha4-r0.apk79.1 KiB2024-08-01 12:09:14
py3-shodan-pyc-1.31.0-r1.apk79.2 KiB2024-04-15 21:03:26
atlantik-doc-3.5.10_git20240323-r0.apk79.3 KiB2024-03-23 19:25:14
psftools-dev-1.1.2-r0.apk79.4 KiB2024-07-31 11:14:08
py3-asyauth-0.0.20-r1.apk79.4 KiB2024-04-15 21:03:17
fplll-dev-5.4.5-r0.apk79.5 KiB2023-10-22 08:04:55
py3-ward-pyc-0.67.0_beta0-r2.apk79.6 KiB2024-04-15 21:03:26
ocaml-lru-0.3.0-r2.apk79.8 KiB2024-03-23 20:49:42
asteroid-btsyncd-2.0.0-r0.apk79.8 KiB2023-09-01 06:31:45
py3-caldav-pyc-1.3.9-r1.apk79.8 KiB2024-04-15 21:03:18
php81-mysqlnd-8.1.29-r0.apk80.1 KiB2024-06-06 20:04:14
gearman-libs-1.1.21-r1.apk80.1 KiB2024-04-22 17:58:03
ruby-json-2.7.2-r0.apk80.1 KiB2024-07-13 21:29:46
zapret-0.0.0_git20220125-r1.apk80.2 KiB2024-06-18 14:37:21
irccd-doc-4.0.3-r0.apk80.4 KiB2023-07-29 22:01:09
firewalld-doc-2.1.2-r1.apk80.4 KiB2024-08-10 21:46:32
opkg-libs-0.6.2-r0.apk80.4 KiB2023-11-27 21:03:40
vit-2.3.2-r1.apk80.5 KiB2024-04-15 21:03:33
lxappearance-lang-0.6.3-r3.apk80.5 KiB2023-05-29 04:27:00
py3-publicsuffix2-2.20191221-r5.apk80.6 KiB2024-04-15 14:26:21
py3-tg-pyc-0.19.0-r5.apk80.6 KiB2024-08-06 12:34:42
mimedefang-doc-3.5-r0.apk80.8 KiB2024-08-03 11:17:08
perl-pango-doc-1.227-r11.apk80.9 KiB2024-06-10 06:08:21
freediameter-libfdproto-1.5.0-r1.apk80.9 KiB2022-11-06 10:59:40
py3-ovos-workshop-0.0.15-r0.apk81.0 KiB2024-05-31 06:16:30
openslide-3.4.1-r3.apk81.0 KiB2023-08-01 15:19:36
perl-gtk2-ex-widgetbits-doc-48-r3.apk81.2 KiB2023-07-03 22:52:45
py3-sphinx-theme-cloud-1.10.0-r2.apk81.3 KiB2024-04-15 21:03:26
reprotest-0.7.27-r0.apk81.4 KiB2024-04-17 23:52:01
trafficserver9-plugin-base-9.2.4-r0.apk81.4 KiB2024-04-05 13:43:12
lomiri-action-api-1.1.3-r1.apk81.4 KiB2024-06-22 10:57:53
tree-sitter-ssh-client-config-2024.8.8-r0.apk81.4 KiB2024-08-08 17:56:18
libmustache-0.5.0-r1.apk81.4 KiB2022-10-28 15:20:58
idevicerestore-1.0.0-r3.apk81.8 KiB2023-05-27 11:04:32
py3-unearth-pyc-0.16.1-r0.apk81.8 KiB2024-07-12 21:43:02
horizon-tools-0.9.6-r9.apk81.8 KiB2024-04-22 17:58:03
getssl-2.48-r0.apk82.2 KiB2024-02-17 04:37:23
mailutils-servers-3.17-r0.apk82.2 KiB2024-01-19 18:21:27
libstirshaken-dev-0_git20240208-r2.apk82.5 KiB2024-02-08 09:25:28
py3-plexapi-doc-4.15.13-r0.apk82.5 KiB2024-05-20 07:26:12
libucl-dev-0.9.0-r0.apk82.7 KiB2024-02-02 21:16:18
py3-marshmallow-pyc-3.21.3-r0.apk82.7 KiB2024-06-11 06:08:31
silc-client-doc-1.1.11-r17.apk82.8 KiB2024-06-10 06:08:21
py3-fastavro-pyc-1.9.5-r0.apk82.8 KiB2024-07-09 09:33:17
pantalaimon-pyc-0.10.5-r4.apk82.9 KiB2024-04-15 14:59:50
ocaml-compiler-libs-repackaged-0.12.4-r3.apk83.1 KiB2024-03-23 20:49:24
py3-fastapi-0.111.0-r0.apk83.2 KiB2024-05-24 13:06:32
youtube-viewer-3.11.1-r0.apk83.5 KiB2024-03-14 17:22:49
pegtl-3.2.7-r0.apk83.6 KiB2023-09-21 21:48:58
video-trimmer-lang-0.8.2-r0.apk83.6 KiB2023-10-09 14:53:41
libmygpo-qt-1.1.0-r2.apk83.7 KiB2024-05-08 13:01:52
py3-winacl-0.1.9-r0.apk83.8 KiB2024-05-13 07:58:29
sydbox-doc-3.21.3-r0.apk83.9 KiB2024-06-12 11:18:32
perl-net-idn-encode-2.500-r1.apk83.9 KiB2024-06-10 06:08:21
ocaml-ethernet-dev-3.0.0-r3.apk84.0 KiB2024-03-23 20:49:32
py3-gls-pyc-1.3.1-r1.apk84.1 KiB2024-04-15 21:03:19
wiringx-dev-0_git20240317-r1.apk84.2 KiB2024-03-24 14:50:46
pimd-3.0_git20220201-r0.apk84.4 KiB2022-03-06 10:30:22
ccrtp-2.1.2-r0.apk84.6 KiB2022-06-04 21:00:18
firehol-3.1.7-r2.apk84.8 KiB2023-05-13 20:21:00
hy-0.29.0-r1.apk85.0 KiB2024-08-08 18:20:05
viewnior-lang-1.8-r1.apk85.1 KiB2023-06-16 22:22:13
crispy-doom-doc-6.0-r0.apk85.3 KiB2023-03-31 22:55:21
dbus-broker-35-r0.apk85.4 KiB2024-01-04 19:38:39
primesieve-libs-12.3-r0.apk85.4 KiB2024-05-01 17:11:16
ctorrent-dnh-3.3.2-r2.apk85.6 KiB2022-10-28 15:20:33
py3-flask-dbconfig-0.3.12-r8.apk85.7 KiB2024-04-15 21:03:19
py3-arcus-5.3.0-r1.apk85.8 KiB2024-06-18 14:37:20
fcitx5-kkc-5.1.4-r0.apk85.9 KiB2024-06-26 04:20:17
aptdec-1.8.0-r0.apk86.0 KiB2023-02-22 12:07:23
py3-ovos-ocp-audio-plugin-pyc-0.0.6_alpha2-r3.apk86.0 KiB2024-05-31 06:16:30
katarakt-0.2-r0.apk86.1 KiB2022-02-02 03:37:45
arc-dark-gtk4-20221218-r0.apk86.2 KiB2023-01-07 13:34:26
libretro-pocketcdg-0_git20220327-r0.apk86.4 KiB2022-04-21 10:02:38
vim-airline-0.11-r0.apk86.4 KiB2021-05-04 10:16:51
py3-asysocks-0.2.12-r1.apk86.6 KiB2024-04-15 21:03:17
gpsbabel-lang-1.8.0-r5.apk86.7 KiB2023-12-31 16:23:28
createrepo_c-libs-1.0.2-r1.apk86.9 KiB2024-04-15 21:03:08
gtkhash-1.5-r0.apk87.0 KiB2022-10-01 21:16:48
py3-transitions-0.9.0-r4.apk87.0 KiB2024-08-05 23:33:36
lua-lut-1.2.1-r0.apk87.1 KiB2019-06-25 22:27:36
xfce4-mixer-4.18.1-r2.apk87.7 KiB2023-10-31 11:12:58
onnxruntime-dev-1.18.1-r1.apk87.7 KiB2024-07-14 22:15:40
duc-1.4.5-r0.apk87.8 KiB2023-07-02 22:01:35
lfm-3.1-r4.apk88.1 KiB2024-04-15 21:03:12
ocaml-iso8601-dev-0.2.6-r0.apk88.2 KiB2024-04-22 06:13:56
bananui-dev-2.0.0-r0.apk88.3 KiB2023-10-06 05:49:06
asteroid-settings-2.0.0-r0.apk88.3 KiB2023-08-31 09:41:35
racksdb-pyc-0.4.0-r0.apk88.7 KiB2024-05-23 09:38:13
lua5.1-libguestfs-1.52.0-r1.apk88.8 KiB2024-04-15 21:03:15
mongo-cxx-driver-dev-3.8.0-r0.apk88.9 KiB2023-08-19 06:39:44
ocaml-logs-dev-0.7.0-r3.apk89.0 KiB2024-03-23 20:49:42
py3-fpdf-pyc-1.7.2-r5.apk89.2 KiB2024-04-15 21:03:19
kodi-inputstream-rtmp-20.3.0-r1.apk89.2 KiB2023-07-02 22:03:17
fusesoc-pyc-2.3-r0.apk89.3 KiB2024-07-22 21:12:49
perl-anyevent-xmpp-0.55-r0.apk89.4 KiB2024-01-06 22:15:27
ocaml-happy-eyeballs-0.3.0-r2.apk89.4 KiB2024-03-23 20:49:34
gammastep-2.0.9-r3.apk89.7 KiB2024-04-15 21:03:10
py3-ovos-backend-client-pyc-0.1.0-r0.apk89.9 KiB2024-05-31 06:16:30
znc-push-0_git20220823-r7.apk89.9 KiB2023-11-12 12:21:39
py3-pypubsub-pyc-4.0.3-r0.apk89.9 KiB2024-05-04 13:42:06
tcmu-runner-1.6.0-r5.apk90.3 KiB2023-09-01 07:39:56
py3-qdldl-0.1.5-r4.apk90.5 KiB2024-08-08 18:20:05
perl-soap-lite-doc-1.27-r5.apk90.5 KiB2023-07-03 22:52:46
py3-pytube-pyc-15.0.0-r2.apk90.5 KiB2024-06-09 09:22:30
soundfont-vintage-dreams-waves-2.1-r1.apk90.6 KiB2022-04-17 06:28:40
pigpio-dev-79-r4.apk90.8 KiB2024-05-08 13:01:52
libiscsi-utils-1.19.0-r2.apk91.1 KiB2023-05-15 16:46:11
libnfc-tools-1.8.0-r1.apk91.1 KiB2023-05-15 16:46:12
anarch-1.0-r1.apk91.2 KiB2022-08-20 14:26:37
py3-rosdistro-pyc-0.9.0-r3.apk91.3 KiB2024-04-15 21:03:25
py3-pyinstrument-4.7.2-r0.apk91.3 KiB2024-08-07 12:29:32
emacs-elfeed-3.4.1_git20240326-r0.apk91.3 KiB2024-04-02 09:39:09
simavr-1.7-r1.apk91.4 KiB2022-10-28 15:21:40
libctl-4.5.1-r1.apk91.4 KiB2023-05-15 16:46:11
ustr-dev-1.0.4-r1.apk91.4 KiB2023-05-15 16:46:26
py3-mistletoe-pyc-1.2.1-r2.apk91.6 KiB2024-08-05 23:33:36
ocaml-merlin-extend-dev-0.6.1-r2.apk91.7 KiB2024-03-23 20:49:45
py3-pyatem-pyc-0.5.0-r3.apk91.8 KiB2024-04-15 21:03:25
jdebp-redo-1.4-r1.apk91.9 KiB2022-06-11 22:08:46
lumina-desktop-sudo-1.6.2-r0.apk91.9 KiB2022-07-05 19:10:43
armagetronad-doc-0.2.9.1.1-r0.apk92.0 KiB2024-02-12 23:10:06
ocaml-bigstringaf-dev-0.9.0-r2.apk92.0 KiB2024-03-23 20:49:19
linuxwave-0.1.5-r0.apk92.1 KiB2023-07-21 22:02:19
tree-sitter-dart-0_git20230123-r1.apk92.2 KiB2023-11-15 21:53:38
xandikos-0.2.11-r1.apk92.4 KiB2024-04-15 21:03:33
sqliteodbc-0.99991-r0.apk92.9 KiB2023-12-23 12:59:09
ocaml-arp-3.0.0-r3.apk93.0 KiB2024-03-23 20:49:16
trafficserver9-dev-9.2.4-r0.apk93.1 KiB2024-04-05 13:43:12
py3-pigpio-79-r4.apk93.1 KiB2024-05-08 13:01:52
libmdbx-dev-0.11.8-r0.apk93.2 KiB2022-07-02 04:10:35
kodi-audioencoder-lame-20.3.0-r1.apk93.2 KiB2023-07-02 22:03:17
arc-dark-gtk3-20221218-r0.apk93.3 KiB2023-01-07 13:34:26
libigraph-dev-0.10.13-r0.apk93.3 KiB2024-06-28 10:32:43
efibootguard-0.16-r0.apk93.4 KiB2024-07-01 10:42:21
libsemanage-3.6-r0.apk93.5 KiB2023-12-28 04:20:23
mkrundir-0.4.0-r0.apk93.5 KiB2024-07-14 15:05:20
mpdcron-0.3-r1.apk93.5 KiB2022-10-28 15:21:06
py3-qbittorrent-api-pyc-2024.5.62-r0.apk93.7 KiB2024-05-30 16:16:13
grommunio-mapi-header-php-1.3-r0.apk93.8 KiB2024-04-26 06:25:50
cddlib-tools-0.94m-r2.apk94.0 KiB2023-08-01 15:19:02
py3-unicrypto-pyc-0.0.10-r2.apk94.1 KiB2024-04-15 21:03:26
gst-rtsp-server-dev-1.24.5-r0.apk94.3 KiB2024-06-22 21:38:45
libblastrampoline-dev-5.2.0-r0.apk94.4 KiB2022-10-28 20:14:36
sblim-wbemcli-1.6.3-r1.apk94.5 KiB2022-10-28 15:21:40
cpplint-pyc-1.6.1_git20240320-r1.apk94.5 KiB2024-04-15 21:03:08
py3-moviepy-1.0.3-r6.apk94.5 KiB2024-08-05 23:33:36
py3-xlwt-1.3.0-r9.apk94.6 KiB2024-04-15 21:03:27
ocaml-ptmap-dev-2.0.5-r3.apk94.8 KiB2024-03-23 20:49:56
ocaml-num-dev-1.4-r3.apk94.9 KiB2024-03-23 20:49:46
castero-pyc-0.9.5-r3.apk94.9 KiB2024-07-26 02:14:54
py3-pyinstrument-pyc-4.7.2-r0.apk95.3 KiB2024-08-07 12:29:32
apostrophe-lang-2.6.3-r6.apk95.4 KiB2024-04-15 07:15:08
ovos-dinkum-listener-0.0.2-r1.apk95.4 KiB2024-05-31 06:16:30
py3-flask-peewee-pyc-3.0.4-r6.apk95.5 KiB2024-04-15 21:03:19
csfml-2.5.2-r0.apk95.7 KiB2023-07-02 22:01:25
ocaml-base64-3.5.0-r2.apk95.8 KiB2024-03-23 20:49:19
dooit-pyc-2.2.0-r1.apk95.8 KiB2024-04-15 21:03:10
rmlint-shredder-2.10.2-r1.apk96.0 KiB2024-04-15 21:03:27
libgivaro-static-4.2.0-r2.apk96.0 KiB2023-08-01 15:19:29
ssdfs-tools-4.09-r0.apk96.0 KiB2023-03-16 01:58:22
py3-soappy-pyc-0.52.28-r3.apk96.1 KiB2024-08-09 22:11:57
py3-youtube-search-pyc-1.6.6-r4.apk96.2 KiB2024-08-09 22:27:35
guish-2.6.10-r0.apk96.3 KiB2023-05-27 15:24:02
libmhash-0.9.9.9-r3.apk96.3 KiB2022-10-14 15:08:53
ustr-doc-1.0.4-r1.apk96.6 KiB2023-05-15 16:46:26
k2-0_git20220807-r1.apk96.6 KiB2023-08-01 15:19:26
py3-pystache-pyc-0.6.5-r1.apk96.7 KiB2024-04-15 21:03:25
lomiri-notifications-1.3.0-r1.apk96.7 KiB2024-06-22 10:57:53
care-2.3.0-r0.apk96.8 KiB2024-02-10 20:01:26
libiio-tools-0.25-r2.apk97.1 KiB2024-07-29 15:42:16
ocaml-mew-dev-0.1.0-r3.apk97.1 KiB2024-03-23 20:49:45
u1db-qt-0.1.7-r1.apk97.3 KiB2024-06-22 10:57:53
eclib-dev-20231212-r1.apk97.4 KiB2024-04-22 17:58:00
kodi-vfs-sacd-20.1.0-r1.apk97.4 KiB2023-07-02 22:03:17
py3-drf-yasg-pyc-1.21.7-r1.apk97.5 KiB2024-04-15 21:03:18
py3-chameleon-4.5.4-r0.apk97.5 KiB2024-04-15 07:15:34
py3-scs-3.2.3-r4.apk97.6 KiB2024-08-06 12:46:18
py3-avro-1.11.3-r1.apk97.7 KiB2024-04-15 21:03:18
ginac-doc-1.8.7-r1.apk98.0 KiB2024-01-28 16:22:00
repology-cli-1.3.0-r0.apk98.0 KiB2024-07-29 07:18:21
dsp-1.9-r2.apk98.0 KiB2024-06-17 06:21:08
py3-qpageview-0.6.2-r1.apk98.0 KiB2024-04-15 21:03:25
htmldoc-doc-1.9.18-r0.apk98.1 KiB2024-02-12 10:47:49
soqt-dev-1.6.0-r1.apk98.2 KiB2024-06-18 14:37:20
azote-pyc-1.12.7-r0.apk98.2 KiB2024-05-06 21:24:56
hpnssh-doc-18.4.1-r0.apk98.2 KiB2024-05-07 19:49:07
zapret-doc-0.0.0_git20220125-r1.apk98.5 KiB2024-06-18 14:37:21
ocaml-uuseg-14.0.0-r2.apk98.6 KiB2024-03-23 20:50:10
tachyon-0.99_beta6-r1.apk98.7 KiB2024-03-30 06:39:01
py3-confluent-kafka-1.8.2-r5.apk98.7 KiB2024-08-08 18:20:05
lomiri-telephony-service-lang-0.5.3-r1.apk98.8 KiB2024-06-22 10:57:53
perl-sys-virt-doc-10.5.0-r0.apk98.8 KiB2024-07-23 12:42:41
wf-config-0.8.0-r0.apk98.9 KiB2023-11-25 23:42:02
lua5.2-penlight-1.3.0-r1.apk99.0 KiB2022-07-26 05:59:07
burp-doc-3.1.4-r0.apk99.4 KiB2023-03-18 21:43:35
lomiri-ui-toolkit-lang-1.3.5100-r1.apk99.5 KiB2024-06-22 10:57:53
lomiri-settings-components-lang-1.1.1-r1.apk100.0 KiB2024-06-22 10:57:53
py3-cdio-2.1.1-r5.apk100.2 KiB2024-08-06 12:36:22
qdjango-0.6.2-r1.apk100.3 KiB2024-06-22 10:57:53
singular-emacs-4.3.2-r2.apk100.4 KiB2023-08-01 15:19:54
caffeine-ng-4.2.0-r1.apk100.4 KiB2024-04-16 01:32:48
ruby-libguestfs-1.52.0-r1.apk100.4 KiB2024-04-15 21:03:27
hdf4-dev-4.2.15-r1.apk100.7 KiB2023-05-15 16:45:54
sshuttle-pyc-1.1.2-r0.apk100.7 KiB2024-06-11 08:20:10
catfish-pyc-4.18.0-r2.apk100.8 KiB2024-04-15 21:03:08
usbguard-1.1.3-r1.apk100.8 KiB2024-07-14 22:15:40
nbsdgames-5-r0.apk100.8 KiB2022-05-04 13:20:40
avarice-2.14-r3.apk100.9 KiB2022-10-17 12:57:44
py3-osqp-0.6.2-r6.apk101.3 KiB2024-08-05 23:33:36
h4h5tools-2.2.5-r3.apk101.3 KiB2024-05-04 13:41:59
ocaml-ssl-0.7.0-r0.apk101.3 KiB2024-04-22 06:13:57
nm-tray-0.5.0-r0.apk101.4 KiB2024-01-28 22:12:44
urlwatch-pyc-2.28-r2.apk101.4 KiB2024-08-05 23:33:36
otf-atkinson-hyperlegible-2020.0514-r0.apk101.4 KiB2020-12-01 19:48:39
ocaml-xmlm-dev-1.4.0-r2.apk101.5 KiB2024-03-23 20:50:12
ocaml-psq-0.2.0-r2.apk101.8 KiB2024-03-23 20:49:56
openscap-daemon-pyc-0.1.10-r9.apk101.9 KiB2024-04-15 21:03:17
getmail6-pyc-6.19.03-r0.apk102.2 KiB2024-07-18 10:17:27
libsbsms-2.3.0-r0.apk102.7 KiB2021-09-05 08:43:29
py3-ovos-classifiers-0.0.0_alpha53-r0.apk103.0 KiB2024-05-31 06:16:30
libfoma-0.10.0_git20221230-r0.apk103.0 KiB2023-06-16 22:20:05
py3-catkin-pkg-pyc-0.5.2-r3.apk103.0 KiB2024-04-15 21:03:18
ocaml-fpath-dev-0.7.3-r2.apk103.3 KiB2024-03-23 20:49:33
reprotest-pyc-0.7.27-r0.apk103.4 KiB2024-04-17 23:52:01
prosody-mod-register_json-0.11_hg20201208-r0.apk103.5 KiB2020-12-10 19:09:25
ocaml-compiler-libs-repackaged-dev-0.12.4-r3.apk103.6 KiB2024-03-23 20:49:24
py3-ovos-ocp-files-plugin-pyc-0.13.0-r1.apk103.7 KiB2024-04-15 21:03:25
sympow-2.023.7-r0.apk103.9 KiB2024-05-26 03:47:46
openmg-0.0.9-r0.apk103.9 KiB2023-04-30 21:30:27
ocaml-libvirt-dev-0.6.1.7-r0.apk104.0 KiB2024-03-23 20:49:42
pithos-1.6.1-r0.apk104.5 KiB2023-06-16 22:21:06
libofx-tools-0.10.9-r1.apk104.6 KiB2023-08-26 11:15:53
handlebars-1.0.0-r1.apk104.8 KiB2023-05-15 16:45:54
libabigail-tools-2.3-r0.apk105.0 KiB2023-05-03 10:33:10
waylock-1.2.0-r0.apk105.2 KiB2024-07-04 08:22:42
pari-dev-2.15.5-r0.apk105.2 KiB2024-07-22 21:12:50
ruby-build-20240612-r0.apk105.3 KiB2024-06-13 05:03:19
wayqt-0.2.0-r0.apk105.4 KiB2023-12-30 13:27:00
treecat-1.0.2_git20231128-r1.apk105.6 KiB2024-02-07 20:40:03
fceux-doc-2.6.6-r2.apk105.8 KiB2024-05-25 13:02:05
transmission-remote-gtk-lang-1.6.0-r0.apk106.0 KiB2023-07-02 22:04:13
hyprcursor-util-0.1.9-r0.apk106.3 KiB2024-06-27 05:58:10
py3-libcec-rpi-6.0.2-r4.apk106.5 KiB2024-06-26 09:47:05
php81-spx-0.4.16-r0.apk106.6 KiB2024-07-27 09:58:55
py3-ncclient-pyc-0.6.13-r4.apk106.7 KiB2024-04-15 21:03:24
php84-spx-0.4.16-r0.apk106.8 KiB2024-07-27 09:58:55
py3-hg-git-pyc-1.1.1-r1.apk106.8 KiB2024-04-15 21:03:19
gtksourceviewmm4-3.91.1-r2.apk106.8 KiB2023-04-14 14:16:28
bliss-dev-0.77-r1.apk106.9 KiB2023-08-01 15:19:02
moe-1.14-r0.apk106.9 KiB2024-01-21 03:06:09
py3-lsprotocol-pyc-2023.0.1-r1.apk107.1 KiB2024-04-15 21:03:24
daemontools-0.76-r2.apk107.1 KiB2022-10-28 15:20:33
otrs-setup-6.0.48-r2.apk107.3 KiB2024-07-07 19:11:32
bananui-shell-0.2.0-r0.apk107.4 KiB2024-02-26 21:07:12
ttfautohint-libs-1.8.4-r0.apk107.8 KiB2024-05-06 10:51:24
rizin-cutter-dev-2.3.2-r2.apk107.9 KiB2024-04-16 16:48:01
elf_diff-pyc-0.7.1-r3.apk108.0 KiB2024-08-04 21:13:51
py3-dnslib-pyc-0.9.24-r1.apk108.2 KiB2024-04-15 21:03:18
py3-cchardet-2.1.7-r4.apk108.2 KiB2024-04-15 21:03:18
soundconverter-lang-4.0.5-r0.apk108.3 KiB2024-07-04 08:22:38
elf_diff-0.7.1-r3.apk108.3 KiB2024-08-04 21:13:51
otpclient-3.2.1-r1.apk108.3 KiB2023-11-15 16:50:04
policycoreutils-lang-3.6-r0.apk108.3 KiB2024-01-08 09:43:00
lomiri-gallery-app-lang-3.0.2-r0.apk108.5 KiB2024-03-08 21:43:09
libmhash-dev-0.9.9.9-r3.apk108.8 KiB2022-10-14 15:08:53
mediastreamer2-doc-5.3.41-r0.apk109.3 KiB2024-06-11 13:11:50
ocaml-tsdl-ttf-dev-0.6-r0.apk109.6 KiB2024-04-22 06:13:58
bake-2.5.1-r0.apk109.6 KiB2022-12-22 22:49:01
lkrg-0.9.6-r0.apk109.7 KiB2023-08-09 10:57:12
emacs-embark-1.0_git20240327-r0.apk109.7 KiB2024-04-09 14:44:53
py3-langcodes-pyc-3.3.0-r2.apk109.7 KiB2024-04-15 21:03:19
firewalld-gui-2.1.2-r1.apk109.9 KiB2024-08-10 21:46:32
font-cousine-0_git20210228-r0.apk110.0 KiB2024-02-23 02:13:37
hypnotix-3.5-r0.apk110.1 KiB2023-07-18 00:30:28
arc-darker-gtk4-20221218-r0.apk110.2 KiB2023-01-07 13:34:26
perl-soap-lite-1.27-r5.apk110.2 KiB2023-07-03 22:52:46
catdoc-0.95-r1.apk110.2 KiB2022-10-28 15:20:31
xtl-0.7.7-r0.apk110.6 KiB2024-01-12 18:24:57
gtksourceviewmm3-3.21.3-r2.apk110.6 KiB2023-04-14 14:16:28
berry-lang-1.1.0-r0.apk110.7 KiB2022-08-12 23:45:37
py3-wstools-pyc-0.4.10-r7.apk110.8 KiB2024-08-06 12:59:54
belr-5.3.38-r0.apk110.9 KiB2024-04-15 07:15:09
menumaker-0.99.14-r1.apk111.0 KiB2022-10-14 15:08:53
fdm-2.2-r0.apk111.1 KiB2023-03-19 05:16:56
android-file-transfer-cli-4.3-r0.apk111.2 KiB2023-12-28 23:11:34
maxima-emacs-5.47.0-r8.apk111.4 KiB2024-07-02 15:39:49
interception-tools-0.6.8-r2.apk111.5 KiB2024-04-22 17:58:03
py3-litex-hub-valentyusb-2024.04-r0.apk111.5 KiB2024-07-05 20:32:58
perl-libapreq2-2.17-r2.apk111.9 KiB2024-06-10 06:08:21
compiz-pyc-0.9.14.2-r6.apk112.0 KiB2024-07-14 22:15:39
kodi-vfs-libarchive-20.3.0-r1.apk112.1 KiB2023-07-02 22:03:17
py3-litex-hub-pythondata-cpu-lm32-2024.04-r0.apk112.1 KiB2024-07-05 20:32:57
ocaml-easy-format-dev-1.3.4-r1.apk112.4 KiB2024-03-23 20:49:31
mediastreamer2-dev-5.3.41-r0.apk112.5 KiB2024-06-11 13:11:50
h4h5tools-static-2.2.5-r3.apk112.5 KiB2024-05-04 13:41:59
arc-lighter-gtk4-20221218-r0.apk112.8 KiB2023-01-07 13:34:26
pyradio-doc-0.9.3.9-r0.apk112.9 KiB2024-07-07 05:25:42
wget2-2.1.0-r0.apk113.1 KiB2023-09-04 10:04:04
gnome-latex-doc-3.46.0-r0.apk113.1 KiB2024-07-02 04:14:35
sqawk-doc-0.24.0-r0.apk113.1 KiB2024-05-24 16:25:54
php81-pecl-imagick-3.7.0-r5.apk113.3 KiB2024-04-11 00:39:23
x11docker-7.6.0-r1.apk113.4 KiB2023-12-19 14:55:59
whipper-0.10.0-r5.apk113.5 KiB2024-04-15 21:03:33
arc-gtk4-20221218-r0.apk113.5 KiB2023-01-07 13:34:26
xosview-1.24-r0.apk113.5 KiB2024-03-25 15:44:52
ocaml-charinfo_width-1.1.0-r3.apk113.6 KiB2024-03-23 20:49:24
php84-pecl-imagick-3.7.0-r0.apk113.7 KiB2024-07-05 00:11:04
py3-ovos-utils-0.0.38-r0.apk113.8 KiB2024-05-31 06:16:30
grommunio-gromox-doc-2.30-r0.apk114.3 KiB2024-06-26 04:36:51
powerctl-1.1-r5.apk114.3 KiB2024-03-16 17:42:04
scummvm-doc-2.8.1-r0.apk114.6 KiB2024-04-15 21:03:32
py3-transitions-pyc-0.9.0-r4.apk114.6 KiB2024-08-05 23:33:36
proot-static-5.4.0-r0.apk114.7 KiB2023-06-16 22:21:07
py3-flask-restaction-0.25.3-r8.apk114.8 KiB2024-04-15 21:03:19
detox-2.0.0-r0.apk115.2 KiB2024-04-01 19:39:46
htslib-dev-1.19-r0.apk115.3 KiB2023-12-12 17:44:29
pigpio-doc-79-r4.apk115.4 KiB2024-05-08 13:01:52
roswell-23.10.14.114-r0.apk115.5 KiB2024-07-02 15:18:22
opkg-dev-0.6.2-r0.apk115.5 KiB2023-11-27 21:03:40
py3-pyexmdb-1.11-r1.apk115.7 KiB2024-05-17 01:44:41
ucspi-tcp-0.88-r2.apk115.8 KiB2022-10-28 15:21:48
iipsrv-1.2-r0.apk115.9 KiB2023-10-05 05:24:47
granite7-7.4.0-r0.apk116.1 KiB2023-12-23 12:59:02
hamster-time-tracker-doc-3.0.3-r2.apk116.2 KiB2024-04-15 21:03:10
icingaweb2-module-businessprocess-2.4.0-r0.apk116.4 KiB2022-08-15 16:45:19
libvisio2svg-utils-0.5.5-r3.apk117.2 KiB2023-04-30 21:30:24
hw-probe-1.6.5-r2.apk117.2 KiB2024-06-10 06:08:21
libneo4j-client-dev-2.2.0-r3.apk117.3 KiB2022-08-21 01:34:47
deblob-0.7-r0.apk117.7 KiB2024-03-30 06:38:58
libthai-doc-0.1.29-r0.apk117.9 KiB2022-02-05 16:42:08
bionic_translation-dbg-0_git20240525-r0.apk118.1 KiB2024-05-28 10:54:14
uucp-doc-1.07-r5.apk118.1 KiB2023-06-19 18:39:56
perl-anyevent-xmpp-doc-0.55-r0.apk118.1 KiB2024-01-06 22:15:27
php81-phar-8.1.29-r0.apk118.2 KiB2024-06-06 20:04:14
cluster-glue-libs-1.0.12-r5.apk118.3 KiB2023-04-30 21:30:11
font-firamath-0.3.4-r0.apk118.3 KiB2022-08-18 19:19:10
shellinabox-2.21-r3.apk118.5 KiB2023-05-15 16:46:20
lomiri-docviewer-app-lang-3.0.4-r0.apk118.6 KiB2024-03-15 17:50:53
perl-git-raw-doc-0.90-r1.apk118.6 KiB2024-06-10 06:08:21
wok-pyc-3.0.0-r6.apk118.7 KiB2024-04-15 21:03:33
yosys-dev-0.42-r0.apk118.7 KiB2024-06-19 16:02:50
liboggz-1.1.1-r2.apk118.7 KiB2022-10-28 15:20:58
fluent-bit-dev-3.0.7-r0.apk118.8 KiB2024-06-26 04:36:48
py3-spnego-0.10.2-r1.apk118.9 KiB2024-04-15 21:03:26
qt6-qtgrpc-dev-6.7.2-r0.apk118.9 KiB2024-07-17 13:04:47
zile-2.6.2-r0.apk119.0 KiB2022-09-20 00:18:08
ocaml-mirage-flow-3.0.0-r3.apk119.0 KiB2024-03-23 20:49:45
libretro-xrick-0_git20220331-r0.apk119.1 KiB2022-04-21 10:02:38
php84-phar-8.4.0_alpha4-r0.apk119.2 KiB2024-08-01 12:09:14
atomicparsley-20240608-r0.apk119.3 KiB2024-06-11 06:07:51
rosdep-pyc-0.19.0-r5.apk119.3 KiB2024-04-15 21:03:27
perl-dbix-class-helpers-doc-2.036000-r3.apk119.4 KiB2023-07-03 22:52:45
tomcat9-admin-9.0.93-r0.apk119.6 KiB2024-08-10 17:31:06
ocaml-camlzip-1.11-r2.apk120.2 KiB2024-03-23 20:49:22
osmctools-0.9-r0.apk120.2 KiB2023-03-31 21:31:38
lumina-desktop-photo-1.6.2-r0.apk120.2 KiB2022-07-05 19:10:43
kodi-game-libretro-20.1.0-r0.apk120.4 KiB2023-07-02 22:03:17
faust-tools-2.60.3-r2.apk120.4 KiB2023-07-02 22:01:56
nullmailer-2.2-r4.apk120.6 KiB2021-12-13 15:06:51
sbase-0_git20210730-r2.apk120.7 KiB2022-10-28 15:21:40
brltty-lang-6.6-r1.apk121.0 KiB2023-11-12 12:19:30
compiz-dev-0.9.14.2-r6.apk121.1 KiB2024-07-14 22:15:39
apk-tools3-libs-3.0.0_pre2_git20240401-r1.apk121.3 KiB2024-05-19 23:22:00
fox-adie-1.6.57-r0.apk121.4 KiB2022-08-08 10:58:14
mir-demos-2.15.0-r3.apk121.5 KiB2024-06-26 15:03:24
ocaml-ptime-1.0.0-r2.apk121.8 KiB2024-03-23 20:49:56
libm4ri-20200125-r4.apk122.0 KiB2023-08-01 15:19:30
icmake-9.03.01-r0.apk122.2 KiB2023-10-06 15:44:37
ocaml-eqaf-dev-0.8-r2.apk122.2 KiB2024-03-23 20:49:32
boinc-screensaver-7.24.3-r0.apk122.5 KiB2024-02-22 01:03:11
py3-edalize-0.5.4-r0.apk122.6 KiB2024-07-22 21:12:50
py3-m2crypto-pyc-0.41.0-r2.apk122.6 KiB2024-08-09 22:29:57
arc-darker-gtk3-20221218-r0.apk123.7 KiB2023-01-07 13:34:26
libsbsms-dev-2.3.0-r0.apk124.2 KiB2021-09-05 08:43:29
drogon-dev-1.9.4-r0.apk124.6 KiB2024-05-05 21:25:24
arc-lighter-gtk3-20221218-r0.apk124.6 KiB2023-01-07 13:34:26
rmlint-shredder-pyc-2.10.2-r1.apk124.7 KiB2024-04-15 21:03:27
ocaml-lwt_react-5.7.0-r0.apk124.9 KiB2024-03-23 20:49:44
barnyard2-2.1.14_git20160413-r1.apk125.0 KiB2022-10-28 15:20:31
py3-kazoo-0_git20211202-r4.apk125.0 KiB2024-08-06 12:12:22
py3-jsonschema417-pyc-4.17.3-r1.apk125.2 KiB2024-04-15 21:03:19
vcdimager-dev-2.0.1-r3.apk125.4 KiB2023-04-30 21:31:21
ginger-lang-2.4.0-r7.apk125.4 KiB2024-04-15 21:03:10
php81-gd-8.1.29-r0.apk125.5 KiB2024-06-06 20:04:14
android-file-transfer-libs-4.3-r0.apk125.7 KiB2023-12-28 23:11:34
libretro-dinothawr-0_git20220401-r0.apk125.8 KiB2022-04-21 10:02:36
arc-gtk3-20221218-r0.apk125.9 KiB2023-01-07 13:34:26
stgit-doc-2.4.7-r1.apk126.2 KiB2024-06-07 22:45:46
py3-dbus-fast-pyc-2.22.1-r0.apk126.6 KiB2024-06-29 01:22:11
libsimplebluez-0.6.1-r1.apk126.6 KiB2023-07-29 22:01:16
topgit-0.19.13-r1.apk126.7 KiB2022-10-28 15:21:44
py3-minidump-pyc-0.0.23-r1.apk126.7 KiB2024-04-15 21:03:24
ecm-7.0.5-r1.apk126.8 KiB2023-05-15 16:45:29
icmake-doc-9.03.01-r0.apk127.4 KiB2023-10-06 15:44:37
py3-rapidjson-1.12-r1.apk127.4 KiB2024-04-15 21:03:25
php81-soap-8.1.29-r0.apk127.5 KiB2024-06-06 20:04:14
cdist-pyc-7.0.0-r6.apk127.6 KiB2024-08-04 21:13:38
ocaml-fmt-dev-0.9.0-r2.apk127.7 KiB2024-03-23 20:49:33
venc-pyc-3.2.4-r0.apk128.1 KiB2024-06-28 07:05:21
php82-pecl-teds-1.3.0-r0.apk128.1 KiB2022-11-10 14:42:09
zfsbootmenu-2.3.0-r1.apk128.2 KiB2024-05-07 22:00:00
py3-github3-4.0.1-r1.apk128.3 KiB2024-04-15 21:03:19
tree-sitter-markdown-0.2.3-r0.apk128.4 KiB2024-03-22 22:33:45
fbp-0.5-r1.apk128.4 KiB2022-05-05 14:59:47
py3-minikerberos-0.4.4-r1.apk128.6 KiB2024-04-15 21:03:24
openttd-openmsx-0.4.2-r0.apk129.1 KiB2021-10-17 13:36:33
modem-manager-gui-lang-0.0.20-r0.apk129.3 KiB2021-10-29 13:58:25
hidrd-dev-0.2.0_git20190603-r1.apk129.6 KiB2022-10-28 15:20:42
wayfire-dev-0.8.1-r1.apk129.6 KiB2024-07-13 16:16:50
libvoikko-4.3.2-r1.apk129.8 KiB2024-04-15 21:03:15
py3-piper-phonemize-2023.11.14.4-r3.apk130.2 KiB2024-07-11 00:03:24
mpop-lang-1.4.20-r0.apk130.4 KiB2024-08-02 02:09:29
ocaml-jsonm-1.0.2-r0.apk130.4 KiB2024-04-22 06:13:56
bdfr-2.6.2-r1.apk130.9 KiB2024-04-15 21:03:07
ocaml-logs-0.7.0-r3.apk130.9 KiB2024-03-23 20:49:42
tpm2-pkcs11-1.9.0-r1.apk131.0 KiB2023-04-22 16:11:04
py3-chameleon-pyc-4.5.4-r0.apk131.0 KiB2024-04-15 07:15:34
php84-gd-8.4.0_alpha4-r0.apk131.3 KiB2024-08-01 12:09:14
php84-soap-8.4.0_alpha4-r0.apk131.9 KiB2024-08-01 12:09:14
py3-winacl-pyc-0.1.9-r0.apk132.0 KiB2024-05-13 07:58:29
himitsu-git-0.2.0-r0.apk132.1 KiB2024-03-19 00:47:26
py3-poppler-qt5-21.3.0-r1.apk132.1 KiB2024-04-15 21:03:25
py3-ovos-plugin-manager-pyc-0.0.25-r0.apk132.3 KiB2024-05-31 06:16:30
debconf-lang-1.5.82-r0.apk132.4 KiB2023-03-16 14:38:28
cgiirc-0.5.12-r1.apk133.1 KiB2023-12-25 08:11:16
py3-levenshtein-0.25.1-r2.apk133.2 KiB2024-04-15 07:15:34
rmlint-2.10.2-r1.apk133.3 KiB2024-04-15 21:03:27
ovos-audio-0.0.2_alpha42-r1.apk133.6 KiB2024-06-02 20:26:52
py3-doit-pyc-0.36.0-r4.apk133.7 KiB2024-04-15 21:03:18
lfm-pyc-3.1-r4.apk133.7 KiB2024-04-15 21:03:12
primecount-libs-7.13-r0.apk134.0 KiB2024-05-01 17:11:16
piper-tts-2023.11.14.2-r5.apk134.0 KiB2024-07-11 00:03:24
liboggz-doc-1.1.1-r2.apk134.2 KiB2022-10-28 15:20:58
py3-marisa-trie-1.2.0-r0.apk134.7 KiB2024-07-15 12:46:21
wolfssh-1.4.17-r0.apk134.7 KiB2024-04-02 09:39:12
hyprcursor-0.1.9-r0.apk134.8 KiB2024-06-27 05:58:10
mesa-asahi-egl-24.0.0_pre20240727-r0.apk134.8 KiB2024-07-30 19:04:55
ocaml-integers-0.7.0-r2.apk135.1 KiB2024-03-23 20:49:35
mapnik-doc-3.1.0-r28.apk135.2 KiB2024-08-06 09:47:02
libm4ri-static-20200125-r4.apk135.4 KiB2023-08-01 15:19:30
jitsi-meet-prosody-1.0.7792-r1.apk135.5 KiB2024-05-24 13:05:35
perl-mce-1.897-r0.apk135.5 KiB2024-06-20 03:10:22
subliminal-pyc-2.2.0-r0.apk135.5 KiB2024-06-26 20:26:40
fcitx5-dev-5.1.10-r0.apk135.6 KiB2024-06-22 21:21:49
guetzli-0_git20191025-r1.apk136.1 KiB2022-10-28 15:20:42
arj-0_git20220125-r1.apk136.9 KiB2022-10-14 15:08:43
getmail6-doc-6.19.03-r0.apk137.3 KiB2024-07-18 10:17:27
py3-minio-pyc-7.2.0-r1.apk137.5 KiB2024-04-15 21:03:24
py3-msldap-0.5.10-r1.apk137.6 KiB2024-04-15 21:03:24
remake-1.5-r1.apk137.7 KiB2022-10-28 15:21:39
qml-box2d-0_git20180406-r0.apk137.8 KiB2020-05-21 18:29:36
emacs-consult-1.4_git20240405-r0.apk137.8 KiB2024-04-09 14:44:52
steghide-0.5.1.1-r0.apk138.0 KiB2024-04-15 07:15:36
tinygltf-2.9.2-r0.apk138.1 KiB2024-08-03 16:04:44
fcitx5-unikey-5.1.4-r0.apk139.3 KiB2024-05-10 03:34:31
neard-0.19-r0.apk139.3 KiB2023-09-19 19:49:47
py3-cmd2-2.4.3-r2.apk139.4 KiB2024-04-15 21:03:18
php81-pecl-protobuf-4.27.3-r0.apk140.0 KiB2024-08-05 03:41:48
ocaml-lwt_log-1.1.1-r5.apk140.1 KiB2024-03-23 20:49:43
yodl-4.02.00-r1.apk140.5 KiB2022-10-28 15:21:49
motion-doc-4.6.0-r0.apk140.9 KiB2023-11-13 22:52:23
w_scan2-1.0.15-r0.apk141.3 KiB2024-01-04 08:02:27
libtins-dev-4.5-r1.apk141.3 KiB2024-04-22 17:58:05
piper-tts-dev-2023.11.14.2-r5.apk141.4 KiB2024-07-11 00:03:24
pnmixer-0.7.2-r3.apk141.6 KiB2023-10-11 17:35:33
zutty-0.14-r0.apk141.7 KiB2023-10-27 05:37:19
py3-fastapi-pyc-0.111.0-r0.apk141.7 KiB2024-05-24 13:06:32
log4cxx-dev-1.1.0-r1.apk141.9 KiB2023-09-16 10:24:26
php81-intl-8.1.29-r0.apk142.1 KiB2024-06-06 20:04:14
ocaml-domain-name-dev-0.4.0-r2.apk142.2 KiB2024-03-23 20:49:31
rauc-1.10.1-r0.apk142.2 KiB2023-08-08 17:38:52
motion-4.6.0-r0.apk142.5 KiB2023-11-13 22:52:23
py3-migen-0.9.2-r2.apk142.6 KiB2024-04-15 21:03:24
py3-pika-1.3.2-r1.apk143.1 KiB2024-04-15 21:03:25
php81-pecl-xdebug-3.3.2-r2.apk143.5 KiB2024-06-04 00:37:16
grip-lang-4.2.4-r0.apk144.4 KiB2023-01-26 19:27:33
transmission-remote-gtk-1.6.0-r0.apk144.4 KiB2023-07-02 22:04:13
php84-pecl-xdebug-3.4.0_alpha1-r0.apk144.5 KiB2024-07-04 13:36:05
slidge-0.1.3-r0.apk144.6 KiB2024-06-14 03:21:12
py3-ovos-workshop-pyc-0.0.15-r0.apk145.0 KiB2024-05-31 06:16:30
font-fira-code-vf-6.2-r0.apk145.1 KiB2022-07-23 23:12:23
tcc-libs-0.9.27_git20240117-r0.apk145.5 KiB2024-01-26 06:27:09
brltty-dev-6.6-r1.apk146.1 KiB2023-11-12 12:19:30
perl-ffi-platypus-doc-2.08-r1.apk146.5 KiB2024-06-10 06:08:21
mmix-0_git20221025-r0.apk146.6 KiB2022-12-14 11:16:24
py3-invoke-2.2.0-r2.apk147.0 KiB2024-04-15 21:03:19
gatling-0.16-r4.apk147.2 KiB2023-08-07 20:56:34
ocaml-curses-1.0.10-r2.apk147.2 KiB2024-03-23 20:49:28
py3-pelican-pyc-4.9.1-r2.apk147.3 KiB2024-04-15 21:03:25
binwalk-2.4.1-r0.apk147.3 KiB2024-05-01 09:32:31
ocaml-fpath-0.7.3-r2.apk147.4 KiB2024-03-23 20:49:33
ocaml-mikmatch-1.0.9-r2.apk147.6 KiB2024-03-23 20:49:45
sdparm-1.12-r1.apk147.7 KiB2022-10-28 15:21:40
lizardfs-3.13.0-r13.apk147.9 KiB2024-04-22 17:58:05
openswitcher-0.5.0-r3.apk148.4 KiB2024-04-15 21:03:17
xfce4-mailwatch-plugin-lang-1.3.1-r1.apk149.3 KiB2023-10-31 11:12:58
freediameter-libfdcore-1.5.0-r1.apk149.3 KiB2022-11-06 10:59:40
py3-opendht-3.1.7-r2.apk149.3 KiB2024-04-15 21:03:25
libsemanage-dev-3.6-r0.apk149.3 KiB2023-12-28 04:20:23
ocaml-happy-eyeballs-dev-0.3.0-r2.apk149.5 KiB2024-03-23 20:49:34
nb-7.12.1-r0.apk149.5 KiB2024-02-23 23:35:59
smplxmpp-0.9.3-r2.apk150.4 KiB2024-04-29 16:49:12
moosefs-chunkserver-3.0.117-r1.apk150.7 KiB2023-06-17 21:06:38
py3-blockdiag-pyc-3.0.0-r5.apk150.7 KiB2024-07-05 13:42:24
materia-gtk-theme-20210322-r1.apk151.1 KiB2022-10-28 20:30:31
makedumpfile-1.7.5-r0.apk151.2 KiB2024-07-18 07:20:32
vit-pyc-2.3.2-r1.apk151.5 KiB2024-04-15 21:03:33
gdm-settings-4.3-r1.apk151.8 KiB2024-04-15 21:03:10
mono-doc-6.12.0.205-r1.apk151.8 KiB2023-07-29 22:01:28
heisenbridge-pyc-1.14.6-r0.apk152.0 KiB2024-06-02 17:39:48
bordeaux-0.8.1-r0.apk152.3 KiB2024-02-26 21:07:12
py3-plexapi-4.15.13-r0.apk152.3 KiB2024-05-20 07:26:12
libbamf-0.5.6-r1.apk152.6 KiB2023-10-20 07:13:23
cscope-15.9-r1.apk152.9 KiB2022-10-14 15:08:44
fcitx5-anthy-5.1.4-r0.apk152.9 KiB2024-05-10 03:34:30
lumina-desktop-fileinfo-1.6.2-r0.apk153.0 KiB2022-07-05 19:10:43
fcitx5-lang-5.1.10-r0.apk153.5 KiB2024-06-22 21:21:49
pidif-0.1-r1.apk153.8 KiB2023-05-24 14:04:16
libemf2svg-1.1.0-r2.apk154.2 KiB2022-10-28 15:20:58
pithos-pyc-1.6.1-r0.apk154.3 KiB2023-06-16 22:21:06
py3-freetype-py-2.4.0-r1.apk154.4 KiB2024-04-15 21:03:19
miraclecast-1.0_git20221016-r0.apk154.6 KiB2022-10-17 14:16:53
py3-openapi-core-pyc-0.19.2-r0.apk154.9 KiB2024-06-27 18:18:48
gdm-settings-lang-4.3-r1.apk155.1 KiB2024-04-15 21:03:10
py3-moviepy-pyc-1.0.3-r6.apk155.5 KiB2024-08-05 23:33:36
ocaml-pcre-7.5.0-r4.apk155.7 KiB2024-03-23 20:49:50
thefuck-pyc-3.32-r3.apk155.7 KiB2024-04-15 21:03:33
hamster-time-tracker-3.0.3-r2.apk155.8 KiB2024-04-15 21:03:10
libqb-doc-2.0.8-r0.apk156.1 KiB2023-08-03 16:08:54
bankstown-lv2-1.1.0-r0.apk156.4 KiB2024-01-04 19:54:24
py3-simplesat-pyc-0.8.2-r0.apk156.6 KiB2024-07-22 21:12:50
wok-3.0.0-r6.apk156.6 KiB2024-04-15 21:03:33
shellharden-4.3.1-r0.apk156.8 KiB2024-07-07 21:29:44
haredo-1.0.4-r1.apk157.2 KiB2024-03-16 17:42:04
mimedefang-3.5-r0.apk157.2 KiB2024-08-03 11:17:08
clustershell-1.9.2-r1.apk158.2 KiB2024-05-23 21:23:08
ttfautohint-dev-1.8.4-r0.apk158.7 KiB2024-05-06 10:51:24
libntl-dev-11.5.1-r3.apk158.9 KiB2023-08-01 15:19:31
mailutils-doc-3.17-r0.apk159.0 KiB2024-01-19 18:21:26
py3-pdal-3.2.3-r5.apk159.1 KiB2024-08-07 12:46:43
lumina-desktop-archiver-1.6.2-r0.apk159.1 KiB2022-07-05 19:10:41
kdiskmark-3.1.4-r1.apk159.5 KiB2023-10-17 16:45:33
lumina-desktop-screenshot-1.6.2-r0.apk159.7 KiB2022-07-05 19:10:43
liblastfm-qt-1.1.10_git20190823-r3.apk160.0 KiB2024-05-08 13:01:52
wk-adblock-0.0.4-r5.apk160.1 KiB2023-05-24 14:04:32
mixxx-doc-2.4.1-r1.apk160.3 KiB2024-07-22 21:25:17
kodi-game-libretro-frodo-0.0.1.25-r0.apk160.4 KiB2023-07-02 22:03:17
m17n-lib-1.8.4-r2.apk160.7 KiB2024-08-02 17:04:38
ocaml-arp-dev-3.0.0-r3.apk161.6 KiB2024-03-23 20:49:16
click-0.5.2-r2.apk161.8 KiB2024-06-22 10:57:53
libretro-frodo-0_git20221221-r0.apk161.8 KiB2022-04-21 10:02:37
rlottie-0.2_git20230831-r0.apk162.0 KiB2023-12-18 14:55:10
micropython-cross-1.23.0-r0.apk163.0 KiB2024-06-13 20:53:20
ace-of-penguins-1.4-r2.apk163.0 KiB2022-03-01 10:21:36
sc-im-0.8.3-r1.apk163.5 KiB2024-07-02 18:29:13
py3-ovos-classifiers-pyc-0.0.0_alpha53-r0.apk163.5 KiB2024-05-31 06:16:30
ocaml-lru-dev-0.3.0-r2.apk163.9 KiB2024-03-23 20:49:42
fava-pyc-1.28-r0.apk164.1 KiB2024-07-22 21:12:49
firecracker-rebase-snap-1.4.1-r0.apk164.6 KiB2023-10-03 19:10:43
perl-git-raw-0.90-r1.apk164.9 KiB2024-06-10 06:08:21
snapper-lang-0.11.1-r0.apk165.1 KiB2024-07-12 07:01:30
ocaml-ocplib-endian-1.2-r3.apk165.4 KiB2024-03-23 20:49:48
olsrd-0.9.8-r2.apk165.5 KiB2022-10-28 15:21:06
py3-xlwt-pyc-1.3.0-r9.apk165.5 KiB2024-04-15 21:03:27
telegram-tdlib-dev-1.8.33-r0.apk166.0 KiB2024-07-11 05:04:31
soundconverter-4.0.5-r0.apk166.0 KiB2024-07-04 08:22:38
ocaml-mqtt-0.2.2-r0.apk166.3 KiB2024-04-22 06:13:57
seastar-testing-22.11.0_git20240711-r0.apk166.3 KiB2024-07-17 21:57:04
mame-plugins-0.251-r0.apk166.3 KiB2023-02-22 11:49:41
binwalk-pyc-2.4.1-r0.apk166.3 KiB2024-05-01 09:32:31
ustr-static-1.0.4-r1.apk166.4 KiB2023-05-15 16:46:26
py3-html5-parser-0.4.12-r1.apk166.8 KiB2024-04-15 21:03:19
py3-cssutils-2.10.2-r0.apk167.2 KiB2024-04-26 13:59:32
burp-3.1.4-r0.apk167.9 KiB2023-03-18 21:43:35
ocaml-calendar-dev-2.04-r4.apk167.9 KiB2024-03-23 20:49:22
lomiri-indicator-network-lang-1.0.2-r2.apk168.0 KiB2024-06-22 10:57:53
libretro-mu-0_git20220317-r0.apk168.1 KiB2022-04-21 10:02:38
hy-pyc-0.29.0-r1.apk168.6 KiB2024-08-08 18:20:05
libstirshaken-tools-0_git20240208-r2.apk168.8 KiB2024-02-08 09:25:28
dinit-0.18.0-r2.apk169.2 KiB2024-06-02 15:35:40
liblinbox-1.7.0-r3.apk169.2 KiB2023-08-01 15:19:30
php84-intl-8.4.0_alpha4-r0.apk169.6 KiB2024-08-01 12:09:14
ptpd-2.3.1-r1.apk169.8 KiB2022-10-28 15:21:38
xboard-doc-4.9.1-r3.apk170.4 KiB2024-07-23 19:23:19
lcalc-2.0.5-r1.apk170.5 KiB2023-03-16 01:57:37
perl-mce-doc-1.897-r0.apk170.6 KiB2024-06-20 03:10:22
wolfssh-dev-1.4.17-r0.apk170.9 KiB2024-04-02 09:39:12
youtube-viewer-gtk-3.11.1-r0.apk171.0 KiB2024-03-14 17:22:49
gpscorrelate-doc-2.0_git20230605-r0.apk171.0 KiB2023-06-16 22:19:46
py3-asyauth-pyc-0.0.20-r1.apk171.4 KiB2024-04-15 21:03:17
libretro-opera-0_git20211214-r0.apk171.7 KiB2022-04-21 10:02:38
py3-flask-peewee-3.0.4-r6.apk171.7 KiB2024-04-15 21:03:19
bananui-dbg-2.0.0-r0.apk172.2 KiB2023-10-06 05:49:06
kimchi-lang-3.0.0-r7.apk172.3 KiB2024-04-15 21:03:12
ocaml-uucd-dev-14.0.0-r2.apk172.9 KiB2024-03-23 20:50:08
commoncpp-dev-7.0.1-r1.apk173.0 KiB2022-08-04 08:46:49
liboggz-dev-1.1.1-r2.apk173.1 KiB2022-10-28 15:20:58
virtme-ng-1.27-r0.apk173.1 KiB2024-07-31 06:28:58
g4music-3.8.1-r0.apk173.4 KiB2024-07-29 15:42:16
lomiri-ui-toolkit-dev-1.3.5100-r1.apk173.4 KiB2024-06-22 10:57:53
py3-langcodes-3.3.0-r2.apk173.5 KiB2024-04-15 21:03:19
ocaml-fix-20220121-r2.apk173.7 KiB2024-03-23 20:49:33
lomiri-libusermetrics-1.3.2-r1.apk173.9 KiB2024-06-22 10:57:53
ocaml-psq-dev-0.2.0-r2.apk173.9 KiB2024-03-23 20:49:56
mimalloc1-debug-1.8.6-r0.apk174.0 KiB2024-05-20 00:03:43
qqc2-suru-style-0.20230206-r1.apk174.1 KiB2024-06-22 10:57:53
ocaml-cairo2-0.6.2-r2.apk174.1 KiB2024-03-23 20:49:22
gearmand-1.1.21-r1.apk174.2 KiB2024-04-22 17:58:03
warpinator-lang-1.8.3-r0.apk174.4 KiB2024-02-10 08:40:37
perl-extutils-makemaker-7.70-r2.apk174.7 KiB2024-02-21 07:50:01
lomiri-filemanager-app-lang-1.0.4-r0.apk174.8 KiB2024-03-15 17:50:53
u-boot-s5p6818-1.2-r0.apk175.1 KiB2019-10-28 21:04:19
py3-netmiko-4.4.0-r0.apk175.8 KiB2024-07-10 06:15:41
mongo-cxx-driver-3.8.0-r0.apk176.0 KiB2023-08-19 06:39:44
srain-1.7.0-r0.apk176.6 KiB2024-05-01 06:29:03
perl-ffi-platypus-2.08-r1.apk176.7 KiB2024-06-10 06:08:21
lizardfs-metalogger-3.13.0-r13.apk176.7 KiB2024-04-22 17:58:05
ocaml-libvirt-0.6.1.7-r0.apk177.2 KiB2024-03-23 20:49:42
php81-pecl-swoole-dev-6.0.0-r0.apk177.5 KiB2024-08-08 12:55:06
php84-pecl-swoole-dev-6.0.0-r0.apk177.5 KiB2024-07-05 17:42:19
ocaml-base64-dev-3.5.0-r2.apk177.8 KiB2024-03-23 20:49:19
click-pyc-0.5.2-r2.apk178.1 KiB2024-06-22 10:57:53
nlopt-2.7.1-r0.apk178.2 KiB2022-05-06 09:53:56
libsimpleble-0.6.1-r1.apk178.2 KiB2023-07-29 22:01:16
py3-tlslite-ng-0.7.6-r8.apk178.5 KiB2024-08-05 23:33:36
ocaml-sexplib0-0.16.0-r0.apk179.3 KiB2024-03-23 20:50:05
py3-apicula-pyc-0.11.1-r1.apk179.5 KiB2024-04-15 21:03:17
sedutil-1.15.1-r1.apk179.9 KiB2022-10-28 15:21:40
kodi-game-libretro-fbneo-1.0.0.71-r0.apk180.1 KiB2023-07-02 22:03:17
py3-qpageview-pyc-0.6.2-r1.apk180.2 KiB2024-04-15 21:03:25
pympress-1.8.5-r1.apk181.2 KiB2024-04-15 21:03:27
cddlib-0.94m-r2.apk181.4 KiB2023-08-01 15:19:02
aravis-libs-0.8.31-r0.apk181.5 KiB2024-03-16 17:38:26
py3-libguestfs-1.52.0-r1.apk182.0 KiB2024-04-15 21:03:20
pympress-pyc-1.8.5-r1.apk182.2 KiB2024-04-15 21:03:27
pcsc-tools-1.7.1-r0.apk182.5 KiB2023-12-31 20:26:14
libcec-rpi-6.0.2-r4.apk184.3 KiB2024-06-26 09:47:05
py3-netifaces2-0.0.22-r0.apk184.4 KiB2024-04-16 00:38:44
py3-xsdata-24.7-r0.apk184.5 KiB2024-07-30 12:11:43
hiawatha-11.6-r0.apk184.7 KiB2024-06-15 07:33:45
ocaml-astring-dev-0.8.5-r2.apk184.9 KiB2024-03-23 20:49:16
whipper-pyc-0.10.0-r5.apk185.1 KiB2024-04-15 21:03:33
android-file-transfer-4.3-r0.apk185.2 KiB2023-12-28 23:11:34
lumina-desktop-textedit-1.6.2-r0.apk185.3 KiB2022-07-05 19:10:43
guake-pyc-3.10-r1.apk185.9 KiB2024-04-15 21:03:10
libretro-gme-0_git20220410-r0.apk185.9 KiB2022-04-21 10:02:37
ocaml-angstrom-0.16.0-r0.apk186.2 KiB2024-03-23 20:49:16
spread-sheet-widget-dbg-0.8-r0.apk186.4 KiB2021-11-13 22:25:44
libretro-gw-0_git20220410-r0.apk186.8 KiB2022-04-21 10:02:37
perl-sys-virt-10.5.0-r0.apk187.0 KiB2024-07-23 12:42:41
py3-ly-0.9.8-r1.apk187.2 KiB2024-04-15 21:03:24
anari-sdk-static-0.7.2-r0.apk187.5 KiB2023-11-06 17:35:27
fcitx5-qt-qt5-5.1.6-r0.apk187.5 KiB2024-05-10 03:34:30
guake-lang-3.10-r1.apk187.8 KiB2024-04-15 21:03:10
openjdk22-doc-22.0.1_p8-r2.apk188.3 KiB2024-04-26 00:12:49
xandikos-pyc-0.2.11-r1.apk188.3 KiB2024-04-15 21:03:33
php81-pecl-timezonedb-2024.1-r0.apk188.4 KiB2024-04-11 00:39:23
opendht-3.1.7-r2.apk188.5 KiB2024-04-15 21:03:17
dnssec-tools-dev-2.2.3-r11.apk189.2 KiB2024-06-10 06:08:21
sublime-music-0.12.0-r1.apk189.5 KiB2024-04-17 02:54:45
py3-owslib-0.31.0-r0.apk189.6 KiB2024-08-03 20:52:07
gearmand-doc-1.1.21-r1.apk189.7 KiB2024-04-22 17:58:03
mesa-asahi-gl-24.0.0_pre20240727-r0.apk189.9 KiB2024-07-30 19:04:55
nitro-dev-2.7_beta8-r2.apk190.0 KiB2023-10-19 16:09:53
py3-edalize-pyc-0.5.4-r0.apk190.2 KiB2024-07-22 21:12:50
py3-slidge-style-parser-0.1.7-r0.apk190.7 KiB2024-07-30 03:22:17
endeavour-43.0-r1.apk191.0 KiB2024-03-23 19:25:14
ocaml-mew_vi-0.5.0-r3.apk191.1 KiB2024-03-23 20:49:45
py3-avro-pyc-1.11.3-r1.apk191.4 KiB2024-04-15 21:03:18
py3-m2crypto-0.41.0-r2.apk191.4 KiB2024-08-09 22:29:57
plplot-libs-5.15.0-r2.apk191.8 KiB2022-10-28 15:21:38
ocaml-charinfo_width-dev-1.1.0-r3.apk192.1 KiB2024-03-23 20:49:24
lumina-desktop-mediaplayer-1.6.2-r0.apk192.3 KiB2022-07-05 19:10:43
nvim-treesitter-0.0.0_git20221013-r1.apk193.7 KiB2024-06-18 14:37:20
soapy-sdr-remote-0.5.2-r1.apk194.3 KiB2023-07-29 22:01:34
liblinbox-static-1.7.0-r3.apk194.7 KiB2023-08-01 15:19:30
glfw-wayland-dbg-3.3.8-r3.apk195.1 KiB2023-07-04 00:01:31
gingerbase-2.3.0-r7.apk195.2 KiB2024-04-15 21:03:10
ocaml-mirage-flow-dev-3.0.0-r3.apk196.2 KiB2024-03-23 20:49:45
moosefs-3.0.117-r1.apk196.4 KiB2023-06-17 21:06:38
libthai-0.1.29-r0.apk196.4 KiB2022-02-05 16:42:08
libettercap-0.8.3.1-r3.apk196.6 KiB2024-07-13 03:58:38
linux-apfs-rw-src-0.3.8-r0.apk197.1 KiB2024-03-14 22:55:03
libvmaf-dev-3.0.0-r0.apk197.3 KiB2024-02-05 20:23:48
lcalc-libs-2.0.5-r1.apk197.4 KiB2023-03-16 01:57:37
qt6ct-0.9-r1.apk197.4 KiB2024-07-22 21:12:50
mjpg-streamer-0_git20210220-r1.apk197.7 KiB2022-10-28 15:21:05
apache-mod-auth-openidc-2.4.15.7-r1.apk197.7 KiB2024-05-07 03:38:50
level-zero-1.17.17-r0.apk197.9 KiB2024-07-06 12:53:44
ocaml-react-dev-1.2.2-r2.apk198.4 KiB2024-03-23 20:49:57
font-tinos-0_git20210228-r0.apk198.7 KiB2024-02-23 02:13:37
boinc-libs-7.24.3-r0.apk198.9 KiB2024-02-22 01:03:11
olsrd-plugins-0.9.8-r2.apk198.9 KiB2022-10-28 15:21:06
ocaml-lambdasoup-0.7.3-r2.apk199.1 KiB2024-03-23 20:49:41
sane-airscan-0.99.29-r0.apk199.4 KiB2024-07-22 21:12:51
poke-doc-4.2-r0.apk200.6 KiB2024-07-02 02:42:04
himitsu-firefox-0.5-r0.apk201.0 KiB2024-03-19 15:01:01
remake-doc-1.5-r1.apk201.7 KiB2022-10-28 15:21:39
libssl1.1-1.1.1w-r0.apk201.7 KiB2023-09-12 11:26:28
warpinator-1.8.3-r0.apk202.4 KiB2024-02-10 08:40:37
perl-module-generic-doc-0.37.2-r0.apk202.5 KiB2024-06-11 08:20:10
jotdown-0.4.0-r0.apk202.7 KiB2024-05-18 23:28:31
hyfetch-pyc-1.4.11-r1.apk202.8 KiB2024-06-29 08:55:18
horizon-0.9.6-r9.apk203.7 KiB2024-04-22 17:58:03
csfml-doc-2.5.2-r0.apk204.0 KiB2023-07-02 22:01:25
avdl-0.4.3-r1.apk204.3 KiB2022-08-20 14:26:37
mrsh-dbg-0_git20210518-r1.apk204.6 KiB2022-10-28 15:21:06
endeavour-lang-43.0-r1.apk204.7 KiB2024-03-23 19:25:14
py3-dateparser-1.2.0-r1.apk204.7 KiB2024-04-15 21:03:18
font-fontawesome-4-4.7.0-r3.apk205.0 KiB2022-10-11 02:13:49
wget2-libs-2.1.0-r0.apk205.5 KiB2023-09-04 10:04:05
php81-pecl-redis-6.1.0_rc1-r0.apk205.7 KiB2024-08-04 22:07:35
hamster-time-tracker-lang-3.0.3-r2.apk205.7 KiB2024-04-15 21:03:11
belcard-libs-5.3.38-r0.apk206.2 KiB2024-04-15 07:15:08
mspdebug-0.25-r1.apk206.4 KiB2022-10-28 15:21:06
ginger-pyc-2.4.0-r7.apk206.8 KiB2024-04-15 21:03:10
mint-y-theme-xfwm4-2.1.1-r0.apk207.0 KiB2023-06-16 22:20:27
komikku-lang-1.53.0-r0.apk207.1 KiB2024-08-10 17:30:50
php84-pecl-redis-6.1.0_rc1-r0.apk207.8 KiB2024-08-04 22:07:35
py3-litex-hub-pythondata-cpu-marocchino-2024.04-r0.apk207.9 KiB2024-07-05 20:32:57
ocaml-fmt-0.9.0-r2.apk208.3 KiB2024-03-23 20:49:33
pdal-python-plugins-1.2.1-r3.apk209.6 KiB2024-04-15 21:03:17
nodejs18-dev-18.19.1-r0.apk209.7 KiB2024-03-14 17:21:30
pdm-2.12.4-r1.apk210.3 KiB2024-04-15 21:03:17
py3-ovos-utils-pyc-0.0.38-r0.apk210.6 KiB2024-05-31 06:16:30
ocaml-ssl-dev-0.7.0-r0.apk210.7 KiB2024-04-22 06:13:57
py3-flask-security-pyc-5.4.3-r1.apk210.7 KiB2024-04-15 21:03:19
hdf4-tools-4.2.15-r1.apk212.5 KiB2023-05-15 16:45:54
hfst-dev-3.16.0-r2.apk212.5 KiB2024-04-15 21:03:11
draco-dev-1.5.7-r1.apk213.0 KiB2024-08-03 16:04:44
zydis-4.1.0-r0.apk213.2 KiB2024-04-05 22:35:43
py3-simplesat-0.8.2-r0.apk214.0 KiB2024-07-22 21:12:50
chicago95-fonts-3.0.1_git20240619-r0.apk214.8 KiB2024-06-19 19:34:20
libecm-7.0.5-r1.apk215.0 KiB2023-05-15 16:46:11
mir-test-tools-2.15.0-r3.apk216.4 KiB2024-06-26 15:03:24
dum-0.1.19-r1.apk217.1 KiB2023-05-24 14:03:58
kodi-peripheral-joystick-20.1.9-r0.apk217.4 KiB2023-07-02 22:03:17
diceware-0.10-r1.apk217.6 KiB2024-04-15 21:03:10
ocaml-omod-dev-0.0.3-r3.apk218.1 KiB2024-03-23 20:49:49
trantor-1.5.18-r0.apk218.4 KiB2024-05-04 20:50:40
catfish-4.18.0-r2.apk218.7 KiB2024-04-15 21:03:08
java-jtharness-examples-6.0_p12-r0.apk218.9 KiB2022-10-05 19:11:04
gmic-doc-3.3.5-r0.apk218.9 KiB2024-04-26 13:52:27
cz-viator-hourglass-black-20210706-r0.apk219.0 KiB2022-02-07 12:33:48
gst-rtsp-server-1.24.5-r0.apk219.1 KiB2024-06-22 21:38:45
py3-spnego-pyc-0.10.2-r1.apk219.1 KiB2024-04-15 21:03:26
purple-hangouts-0_git20200422-r0.apk219.2 KiB2020-07-04 17:19:39
tree-sitter-elixir-0.2.0-r0.apk219.3 KiB2024-04-28 09:31:10
pigpio-79-r4.apk219.6 KiB2024-05-08 13:01:52
nuklear-4.12.0-r0.apk219.8 KiB2024-02-18 01:31:45
syncthing-gtk-pyc-0.9.4.5-r2.apk220.6 KiB2024-08-08 18:20:05
ocaml-camlzip-dev-1.11-r2.apk220.7 KiB2024-03-23 20:49:22
py3-duniterpy-1.1.1-r3.apk221.3 KiB2024-04-15 21:03:18
py3-litex-hub-pythondata-cpu-mor1kx-2024.04-r0.apk221.4 KiB2024-07-05 20:32:58
aufs-util-20161219-r2.apk221.5 KiB2023-12-07 15:23:35
ocaml-sha-dev-1.15.4-r0.apk221.6 KiB2024-03-23 20:50:05
py3-cmd2-pyc-2.4.3-r2.apk222.6 KiB2024-04-15 21:03:18
asteroid-icons-ion-2.0.0-r0.apk223.2 KiB2023-09-01 06:31:45
lomiri-thumbnailer-3.0.3-r2.apk223.3 KiB2024-06-22 10:57:53
php81-pecl-xlswriter-1.5.5-r0.apk223.8 KiB2024-04-11 00:39:23
shadowsocks-libev-3.3.5-r4.apk224.1 KiB2024-04-15 07:15:36
lomiri-clock-app-4.0.4-r0.apk224.3 KiB2024-07-06 14:53:27
lomiri-settings-components-1.1.1-r1.apk224.8 KiB2024-06-22 10:57:53
muon-0.2.0-r2.apk224.9 KiB2024-03-27 21:18:22
parcellite-1.2.5-r0.apk225.0 KiB2024-07-11 05:11:50
gmid-2.0.5-r0.apk225.1 KiB2024-06-11 20:55:53
xboard-lang-4.9.1-r3.apk226.2 KiB2024-07-23 19:23:19
hunspell-es-ar-2.7-r0.apk226.5 KiB2023-06-16 22:19:52
scap-workbench-1.2.1-r2.apk226.7 KiB2023-02-13 14:23:40
py3-github3-pyc-4.0.1-r1.apk227.0 KiB2024-04-15 21:03:19
fiery-1.1.2-r1.apk227.9 KiB2024-02-05 15:35:48
ocaml-xml-light-2.5-r0.apk228.2 KiB2024-03-23 20:50:11
lomiri-docviewer-app-3.0.4-r0.apk228.3 KiB2024-03-15 17:50:53
hare-wayland-0_git20240711-r0.apk228.6 KiB2024-07-23 01:14:09
py3-imdbpy-2021.4.18-r5.apk229.0 KiB2024-08-08 18:20:05
soqt-1.6.0-r1.apk229.3 KiB2024-06-18 14:37:20
qt5ct-1.8-r0.apk229.4 KiB2024-07-22 21:12:50
lomiri-libusermetrics-doc-1.3.2-r1.apk229.6 KiB2024-06-22 10:57:53
py3-litex-hub-pythondata-cpu-picorv32-2024.04-r0.apk230.3 KiB2024-07-05 20:32:58
getting-things-gnome-lang-0.6-r3.apk230.4 KiB2024-04-15 21:03:10
kgraphviewer-lang-2.5.0-r0.apk230.4 KiB2024-05-08 17:44:11
icingaweb2-module-fileshipper-doc-1.2.0-r3.apk230.8 KiB2023-12-04 08:23:59
py3-asysocks-pyc-0.2.12-r1.apk231.0 KiB2024-04-15 21:03:18
chocolate-doom-doc-3.1.0-r0.apk231.3 KiB2024-08-03 04:13:19
py3-invoke-pyc-2.2.0-r2.apk231.4 KiB2024-04-15 21:03:19
sfwbar-1.0_beta15-r0.apk231.9 KiB2024-06-27 20:23:11
straw-viewer-0.1.3-r3.apk232.1 KiB2024-06-10 06:08:21
elementary-photos-publishing-2.8.0-r1.apk232.2 KiB2023-04-30 21:30:21
py3-pynest2d-5.2.2-r4.apk232.3 KiB2024-04-22 17:58:13
ocaml-calendar-2.04-r4.apk233.3 KiB2024-03-23 20:49:22
tup-0.7.11-r0.apk233.4 KiB2023-03-06 13:16:15
py3-pelican-4.9.1-r2.apk233.7 KiB2024-04-15 21:03:25
nwg-panel-pyc-0.9.37-r0.apk234.0 KiB2024-07-28 20:02:03
ncdu2-2.3_git20240412-r1.apk234.2 KiB2024-06-08 20:55:13
gpa-0.10.0-r2.apk234.9 KiB2022-10-28 15:20:42
lomiri-weather-app-5.13.5-r1.apk235.3 KiB2024-06-11 16:44:06
libm4rie-20200125-r3.apk235.6 KiB2023-08-01 15:19:30
py3-tpm2-pytss-pyc-2.2.1-r0.apk236.2 KiB2024-03-14 17:21:49
firecracker-seccompiler-1.4.1-r0.apk236.2 KiB2023-10-03 19:10:43
grommunio-admin-api-1.15-r3.apk236.6 KiB2024-06-18 14:37:17
wlroots0.12-0.12.0-r1.apk236.6 KiB2022-09-02 18:54:58
sigma-0.23.1-r1.apk236.8 KiB2024-04-15 21:03:32
xdg-desktop-portal-hyprland-1.3.3-r0.apk238.2 KiB2024-07-28 02:19:18
libretro-cannonball-0_git20220309-r6.apk238.3 KiB2024-04-22 17:58:05
tpm2-tools-5.6-r1.apk239.2 KiB2024-07-12 02:41:37
py3-scrapy-2.11.1-r1.apk240.0 KiB2024-04-15 21:03:26
kodi-game-libretro-atari800-3.1.0.28-r0.apk240.7 KiB2023-07-02 22:03:17
fcitx5-qt-qt6-5.1.6-r0.apk241.2 KiB2024-05-10 03:34:30
devil-1.8.0-r0.apk241.5 KiB2023-09-12 10:41:07
objconv-2.52_git20210213-r2.apk241.5 KiB2022-10-28 15:21:06
py3-imdbpy-pyc-2021.4.18-r5.apk242.6 KiB2024-08-08 18:20:05
libgivaro-dev-4.2.0-r2.apk244.4 KiB2023-08-01 15:19:29
py3-kazoo-pyc-0_git20211202-r4.apk244.5 KiB2024-08-06 12:12:22
libopensles-standalone-dbg-0_git20240221-r0.apk244.6 KiB2024-04-29 07:26:17
micropython-1.23.0-r0.apk244.6 KiB2024-06-13 20:53:20
swayhide-0.2.1-r2.apk245.3 KiB2024-06-29 02:30:52
xfe-xfp-1.46.2-r0.apk245.7 KiB2024-06-13 03:48:08
task3-doc-3.1.0-r0.apk245.8 KiB2024-08-08 14:12:39
py3-pika-pyc-1.3.2-r1.apk245.9 KiB2024-04-15 21:03:25
openssl1.1-compat-1.1.1w-r0.apk248.1 KiB2023-09-12 11:26:28
visidata-2.11.1-r2.apk248.4 KiB2024-04-15 21:03:33
mkdocs-rtd-dropdown-1.0.2-r5.apk248.4 KiB2024-08-04 21:34:45
mkdocs-cinder-1.2.0-r5.apk248.6 KiB2024-08-04 21:33:57
font-comic-neue-2.51-r0.apk248.8 KiB2021-02-18 18:21:23
hdf4-4.2.15-r1.apk249.1 KiB2023-05-15 16:45:54
lomiri-lang-0.2.1-r2.apk249.4 KiB2024-06-22 10:57:53
timew-1.4.3-r1.apk249.5 KiB2022-10-28 15:21:44
py3-okonomiyaki-pyc-1.4.0-r0.apk249.7 KiB2024-07-22 21:12:50
linphone-dev-5.3.38-r0.apk249.7 KiB2024-04-15 07:15:34
ocaml-cmdliner-dev-1.1.1-r3.apk249.8 KiB2024-03-23 20:49:24
catfish-lang-4.18.0-r2.apk249.9 KiB2024-04-15 21:03:08
opmsg-1.84-r1.apk250.0 KiB2022-08-04 08:48:19
venc-3.2.4-r0.apk250.7 KiB2024-06-28 07:05:21
font-commit-mono-1.143-r0.apk251.3 KiB2023-12-31 15:43:16
openbgpd-8.5-r0.apk252.6 KiB2024-07-29 10:45:16
libretro-atari800-0_git20220327-r1.apk252.7 KiB2024-07-12 12:25:53
snapraid-12.3-r0.apk253.4 KiB2024-01-26 02:24:56
libm4rie-static-20200125-r3.apk253.4 KiB2023-08-01 15:19:30
speedtest-5.2.5-r1.apk253.5 KiB2023-09-04 12:28:25
perl-module-generic-0.37.2-r0.apk253.5 KiB2024-06-11 08:20:10
ovos-core-pyc-0.0.8_alpha105-r0.apk254.0 KiB2024-05-31 06:16:30
vera++-1.3.0-r10.apk254.1 KiB2024-04-22 16:32:36
avra-dev-1.4.2-r0.apk254.7 KiB2023-08-21 07:01:43
ginger-2.4.0-r7.apk257.1 KiB2024-04-15 21:03:10
cddlib-static-0.94m-r2.apk258.0 KiB2023-08-01 15:19:02
lomiri-ui-extras-0.6.3-r1.apk258.4 KiB2024-06-22 10:57:53
ocaml-lwt_log-dev-1.1.1-r5.apk258.6 KiB2024-03-23 20:49:43
ocaml-magic-mime-1.3.1-r0.apk259.8 KiB2024-04-22 06:13:56
advancescan-1.18-r1.apk259.9 KiB2022-10-28 15:20:27
mkdocs-bootstrap4-0.1.5-r5.apk260.1 KiB2024-08-04 21:33:31
ocaml-mqtt-dev-0.2.2-r0.apk260.1 KiB2024-04-22 06:13:57
gede-2.18.2-r1.apk261.3 KiB2023-12-19 14:55:59
openssl1.1-compat-dev-1.1.1w-r0.apk261.4 KiB2023-09-12 11:26:28
platformio-core-6.1.7-r3.apk262.5 KiB2024-08-04 21:36:32
timewarrior-1.7.1-r0.apk263.4 KiB2024-01-17 22:56:12
py3-minikerberos-pyc-0.4.4-r1.apk263.6 KiB2024-04-15 21:03:24
openttd-doc-14.1-r0.apk264.1 KiB2024-07-25 00:43:49
ocaml-ocplib-endian-dev-1.2-r3.apk264.1 KiB2024-03-23 20:49:48
nwg-panel-0.9.37-r0.apk264.1 KiB2024-07-28 20:02:03
font-anonymous-pro-1.002-r2.apk264.5 KiB2022-10-11 02:13:49
tmate-2.4.0-r4.apk264.9 KiB2023-03-05 02:21:09
ocaml-uunf-dev-14.0.0-r2.apk265.8 KiB2024-03-23 20:50:10
dcmtk-doc-3.6.8-r0.apk265.8 KiB2024-01-13 22:53:01
irccd-4.0.3-r0.apk265.8 KiB2023-07-29 22:01:09
mailutils-3.17-r0.apk266.2 KiB2024-01-19 18:21:26
ocaml-metrics-0.4.0-r3.apk266.6 KiB2024-03-23 20:49:45
py3-flask-security-5.4.3-r1.apk267.1 KiB2024-04-15 21:03:19
ocaml-num-1.4-r3.apk267.5 KiB2024-03-23 20:49:46
postgresql-pgmq-1.1.1-r0.apk267.7 KiB2024-01-28 16:22:18
apache-mod-auth-openidc-static-2.4.15.7-r1.apk268.8 KiB2024-05-07 03:38:50
py3-iso639-lang-2.2.3-r0.apk269.0 KiB2024-04-18 13:58:57
libfyaml-0.9-r0.apk269.5 KiB2023-12-21 22:36:44
xfe-xfi-1.46.2-r0.apk269.6 KiB2024-06-13 03:48:08
xtensor-0.24.7-r0.apk270.2 KiB2024-01-12 18:24:57
mediascanner2-0.115-r0.apk270.3 KiB2024-03-15 17:50:55
lynis-3.1.1-r0.apk271.3 KiB2024-03-18 00:13:30
drawing-lang-1.0.2-r0.apk272.0 KiB2023-03-06 13:04:50
restinio-dev-0.6.17-r6.apk272.9 KiB2024-04-22 17:58:13
gforth-doc-0.7.3-r3.apk273.8 KiB2021-10-15 02:20:00
py3-anyascii-0.3.2-r1.apk274.7 KiB2024-04-15 21:03:17
py3-tlslite-ng-pyc-0.7.6-r8.apk274.8 KiB2024-08-05 23:33:36
welle-cli-2.4-r5.apk275.4 KiB2024-04-24 20:49:43
lomiri-content-hub-1.1.1-r1.apk275.7 KiB2024-06-22 10:57:53
gaupol-1.12-r2.apk276.2 KiB2024-04-15 21:03:10
gaupol-lang-1.12-r2.apk276.7 KiB2024-04-15 21:03:10
ocaml-integers-dev-0.7.0-r2.apk276.9 KiB2024-03-23 20:49:35
py3-cssutils-pyc-2.10.2-r0.apk277.3 KiB2024-04-26 13:59:32
masky-0.2.0-r1.apk277.7 KiB2024-04-15 21:03:15
libretro-crocods-0_git20210314-r1.apk278.5 KiB2021-06-03 15:02:08
lsmash-2.14.5-r2.apk279.5 KiB2022-10-28 15:21:02
commoncpp-7.0.1-r1.apk280.3 KiB2022-08-04 08:46:49
libusbguard-1.1.3-r1.apk280.9 KiB2024-07-14 22:15:40
vectoroids-1.1.0-r2.apk281.2 KiB2024-05-28 11:28:00
font-intel-one-mono-1.3.0-r0.apk281.4 KiB2023-09-17 16:46:25
amule-doc-2.3.3-r13.apk281.4 KiB2024-04-22 17:57:59
moosefs-master-3.0.117-r1.apk283.5 KiB2023-06-17 21:06:38
moosefs-client-3.0.117-r1.apk283.6 KiB2023-06-17 21:06:38
py3-tpm2-pytss-2.2.1-r0.apk284.5 KiB2024-03-14 17:21:49
hex-0.6.0-r0.apk284.6 KiB2024-01-08 09:42:59
slidge-pyc-0.1.3-r0.apk285.0 KiB2024-06-14 03:21:12
py3-imageio-2.34.0-r1.apk286.3 KiB2024-04-17 02:54:45
anari-sdk-0.7.2-r0.apk286.6 KiB2023-11-06 17:35:27
coventry-0.8.1-r0.apk286.7 KiB2024-02-24 15:01:24
xfe-xfw-1.46.2-r0.apk287.0 KiB2024-06-13 03:48:08
emacs-ement-0.15.1-r0.apk287.3 KiB2024-07-04 16:40:26
powerline-extra-symbols-0_git20191017-r0.apk287.4 KiB2021-03-21 06:00:09
phoronix-test-suite-doc-10.8.4-r2.apk287.5 KiB2023-10-14 23:23:35
lomiri-weather-app-lang-5.13.5-r1.apk287.7 KiB2024-06-11 16:44:06
libqofono-qt5-0.123-r1.apk288.5 KiB2024-06-22 10:57:53
py3-cassandra-driver-3.29.1-r0.apk288.8 KiB2024-04-15 21:03:18
mergerfs-2.40.2-r0.apk289.3 KiB2024-06-27 02:30:13
stardict-lang-3.0.6-r6.apk289.5 KiB2023-04-30 21:31:07
ngs-0.2.14-r0.apk289.7 KiB2022-10-08 22:04:52
waylevel-1.0.0-r1.apk289.9 KiB2023-05-24 14:04:32
ocaml-uucd-14.0.0-r2.apk290.4 KiB2024-03-23 20:50:08
py3-python-stdnum-pyc-1.19-r2.apk290.6 KiB2024-08-06 13:00:59
uasm-2.56.2-r0.apk290.6 KiB2023-10-22 22:10:30
wlroots0.15-0.15.1-r6.apk290.6 KiB2023-07-03 16:36:04
laminar-1.3-r4.apk293.4 KiB2024-04-22 17:58:03
toybox-0.8.11-r0.apk293.6 KiB2024-04-29 21:07:47
xvkbd-4.1-r2.apk293.9 KiB2022-10-28 15:21:49
tree-sitter-haskell-0.21.0-r0.apk294.9 KiB2024-07-09 19:48:58
py3-migen-pyc-0.9.2-r2.apk295.6 KiB2024-04-15 21:03:24
esptool-4.7.0-r1.apk296.6 KiB2024-04-15 21:03:10
trigger-rally-0.6.7-r2.apk296.9 KiB2024-01-02 08:41:25
ocaml-react-1.2.2-r2.apk297.1 KiB2024-03-23 20:49:57
percona-toolkit-doc-3.5.4-r0.apk297.5 KiB2023-08-13 16:27:37
coxeter-libs-3.0-r1.apk297.7 KiB2023-08-01 15:19:02
libretro-nxengine-0_git20220301-r0.apk297.9 KiB2022-04-21 10:02:38
ocaml-mew_vi-dev-0.5.0-r3.apk297.9 KiB2024-03-23 20:49:45
libretro-cap32-0_git20220419-r0.apk299.3 KiB2022-04-21 10:02:36
ocaml-astring-0.8.5-r2.apk299.5 KiB2024-03-23 20:49:16
lipstick-asteroidos-2.0.0-r1.apk299.7 KiB2023-10-08 10:34:13
ocaml-otr-0.3.10-r2.apk299.8 KiB2024-03-23 20:49:49
zint-qt-2.13.0-r0.apk300.2 KiB2024-06-26 11:02:36
libspatialindex-0_git20210205-r1.apk300.2 KiB2023-11-06 17:37:29
par2cmdline-turbo-1.1.1-r0.apk300.2 KiB2023-12-01 01:00:44
pypy3-tkinter-7.3.12-r0.apk301.9 KiB2023-06-16 22:21:27
sublime-music-pyc-0.12.0-r1.apk302.2 KiB2024-04-17 02:54:45
libtins-4.5-r1.apk302.6 KiB2024-04-22 17:58:05
apache2-mod-perl-doc-2.0.13-r1.apk302.8 KiB2024-06-10 06:08:21
py3-plexapi-pyc-4.15.13-r0.apk303.0 KiB2024-05-20 07:26:12
morph-browser-lang-1.1.0-r1.apk303.4 KiB2024-06-22 10:57:53
litehtml-0.9-r0.apk304.2 KiB2024-06-22 10:57:53
ocaml-conduit-6.1.0-r0.apk304.8 KiB2024-04-22 06:13:55
ocaml-pcre-dev-7.5.0-r4.apk305.0 KiB2024-03-23 20:49:50
guake-3.10-r1.apk305.3 KiB2024-04-15 21:03:10
rizin-dev-0.6.3-r1.apk306.1 KiB2024-07-13 05:52:43
guestfs-tools-1.52.0-r1.apk306.5 KiB2024-04-15 21:03:10
kodi-pvr-hts-20.6.2-r1.apk306.7 KiB2023-07-02 22:03:17
diskus-0.7.0-r2.apk306.8 KiB2024-05-22 21:47:14
habitctl-0.1.0-r2.apk307.0 KiB2023-05-24 14:04:07
helvum-0.5.1-r0.apk307.4 KiB2023-09-30 15:56:09
chiaki-2.2.0-r0.apk308.2 KiB2024-01-26 14:21:59
csmith-2.3.0-r2.apk309.0 KiB2024-07-01 14:13:02
pw-volume-0.5.0-r1.apk309.1 KiB2023-05-24 14:04:18
gnucash-dev-5.8-r0.apk310.3 KiB2024-07-22 21:12:50
plplot-doc-5.15.0-r2.apk310.6 KiB2022-10-28 15:21:38
biometryd-0.3.1-r3.apk310.8 KiB2024-07-14 22:15:39
cluster-glue-1.0.12-r5.apk310.9 KiB2023-04-30 21:30:11
flint-dev-2.9.0-r1.apk311.4 KiB2023-01-07 11:00:08
py3-msldap-pyc-0.5.10-r1.apk312.1 KiB2024-04-15 21:03:24
minisatip-1.3.4-r0.apk312.4 KiB2024-03-15 06:19:28
xgalaga-2.1.1.0-r1.apk313.3 KiB2022-10-28 15:21:49
vivid-0.10.1-r0.apk314.8 KiB2024-07-07 05:46:40
ocaml-curses-dev-1.0.10-r2.apk315.1 KiB2024-03-23 20:49:28
libretro-beetle-pcfx-0_git20220409-r0.apk315.3 KiB2022-04-21 10:02:36
font-fantasque-sans-noloopk-1.8.0-r0.apk315.8 KiB2019-11-22 15:17:37
font-fantasque-sans-normal-1.8.0-r0.apk315.8 KiB2019-11-22 15:17:38
font-fantasque-sans-largelineheight-1.8.0-r0.apk315.8 KiB2019-11-22 15:17:37
font-fantasque-sans-largelineheightnoloopk-1.8.0-r0.apk315.8 KiB2019-11-22 15:17:37
tree-sitter-kotlin-0.3.8-r0.apk316.2 KiB2024-08-08 17:56:18
dnssec-tools-doc-2.2.3-r11.apk316.2 KiB2024-06-10 06:08:21
perl-libintl-perl-1.33-r1.apk316.4 KiB2023-07-03 22:52:45
level-zero-dev-1.17.17-r0.apk317.5 KiB2024-07-06 12:53:44
pypykatz-0.6.10-r0.apk317.8 KiB2024-08-08 20:10:26
fuzzylite-libs-6.0-r0.apk318.1 KiB2023-04-17 12:06:02
projectm-sdl-3.1.12-r2.apk318.4 KiB2024-05-08 13:01:52
py3-apsw-pyc-3.45.2.0-r1.apk319.2 KiB2024-04-15 21:03:17
py3-gnucash-5.8-r0.apk319.4 KiB2024-07-22 21:12:50
libguestfs-1.52.0-r1.apk319.7 KiB2024-04-15 21:03:12
gstreamermm-dev-1.10.0-r4.apk320.2 KiB2022-10-28 15:20:42
monetdb-doc-11.33.11-r4.apk320.9 KiB2023-04-30 21:30:27
barman-3.10.1-r0.apk321.7 KiB2024-06-25 07:48:02
rclone-browser-1.8.0-r1.apk322.9 KiB2022-10-28 15:21:39
ripdrag-0.4.9-r0.apk323.1 KiB2024-06-26 03:46:51
electron-dev-30.3.0-r0.apk323.9 KiB2024-07-22 21:12:49
grommunio-sync-2.0_git20240327-r3.apk323.9 KiB2024-06-18 14:37:17
ocaml-ocf-dev-0.8.0-r3.apk325.1 KiB2024-03-23 20:49:48
foma-0.10.0_git20221230-r0.apk326.8 KiB2023-06-16 22:19:21
3proxy-0.9.4-r0.apk328.6 KiB2023-09-18 05:37:09
libblastrampoline-5.2.0-r0.apk328.7 KiB2022-10-28 20:14:36
ocaml-notty-0.2.3-r0.apk329.0 KiB2024-03-23 20:49:45
ocaml-fileutils-0.6.4-r2.apk329.3 KiB2024-03-23 20:49:33
openwsman-libs-2.7.2-r5.apk329.9 KiB2024-06-10 06:08:21
ocaml-asn1-combinators-0.2.6-r2.apk331.2 KiB2024-03-23 20:49:16
modem-manager-gui-0.0.20-r0.apk332.4 KiB2021-10-29 13:58:24
py3-netmiko-pyc-4.4.0-r0.apk333.6 KiB2024-07-10 06:15:41
libvmaf-3.0.0-r0.apk334.1 KiB2024-02-05 20:23:48
py3-eventlet-0.36.1-r0.apk334.2 KiB2024-04-15 07:15:34
ocaml-magic-mime-dev-1.3.1-r0.apk334.6 KiB2024-04-22 06:13:57
ocaml-gen-1.1-r1.apk334.7 KiB2024-03-23 20:49:33
opentelemetry-cpp-dev-1.11.0-r4.apk334.9 KiB2024-07-14 22:15:40
perl-snmp-info-3.970001-r0.apk335.4 KiB2024-04-02 23:39:42
lomiri-filemanager-app-1.0.4-r0.apk335.5 KiB2024-03-15 17:50:53
libsigrokdecode-0.5.3-r4.apk335.5 KiB2024-04-15 13:54:46
py3-eventlet-pyc-0.36.1-r0.apk336.0 KiB2024-04-15 07:15:34
py3-falcon-pyc-3.1.3-r0.apk336.7 KiB2024-05-27 02:18:28
tree-sitter-c-sharp-0.21.3-r0.apk337.1 KiB2024-07-09 08:06:41
ocaml-sexplib0-dev-0.16.0-r0.apk337.5 KiB2024-03-23 20:50:05
bartib-1.0.1-r1.apk338.1 KiB2023-05-24 14:03:54
libsemigroups-dev-2.7.3-r0.apk338.2 KiB2024-01-22 06:22:03
kodi-inputstream-ffmpegdirect-20.5.0-r1.apk338.8 KiB2023-07-02 22:03:17
psftools-1.1.2-r0.apk338.9 KiB2024-07-31 11:14:08
eclib-20231212-r1.apk339.2 KiB2024-04-22 17:58:00
ocaml-ipaddr-5.3.1-r2.apk339.2 KiB2024-03-23 20:49:35
sigma-pyc-0.23.1-r1.apk340.0 KiB2024-04-15 21:03:32
mesa-asahi-dev-24.0.0_pre20240727-r0.apk340.5 KiB2024-07-30 19:04:55
py3-dateparser-pyc-1.2.0-r1.apk341.0 KiB2024-04-15 21:03:18
py3-apsw-3.45.2.0-r1.apk342.0 KiB2024-04-15 21:03:17
vixl-dev-7.0.0-r0.apk343.0 KiB2024-02-20 15:45:22
bkt-0.8.0-r0.apk343.3 KiB2024-07-05 05:43:56
php81-pear-8.1.29-r0.apk343.6 KiB2024-06-06 20:04:14
php84-pear-8.4.0_alpha4-r0.apk343.6 KiB2024-08-01 12:09:14
toml2json-1.3.1-r0.apk343.7 KiB2023-08-05 10:40:36
ocaml-angstrom-dev-0.16.0-r0.apk344.0 KiB2024-03-23 20:49:16
speakersafetyd-0.1.9-r0.apk345.2 KiB2023-12-31 11:46:25
fflas-ffpack-2.5.0-r3.apk345.4 KiB2023-08-01 15:19:17
perl-dbix-class-doc-0.082843-r1.apk345.4 KiB2023-07-03 22:52:45
bobcat-doc-4.09.00-r0.apk345.4 KiB2023-10-06 06:58:06
cutechess-cli-1.3.1-r0.apk345.6 KiB2023-09-24 18:36:50
liquid-dsp-1.5.0-r0.apk345.6 KiB2023-01-24 16:47:55
perl-html-object-0.5.0-r0.apk347.8 KiB2024-05-04 15:37:22
pympress-doc-1.8.5-r1.apk348.4 KiB2024-04-15 21:03:27
ocaml-lambdasoup-dev-0.7.3-r2.apk348.5 KiB2024-03-23 20:49:42
ocaml-utop-2.9.1-r4.apk349.2 KiB2024-04-04 10:39:21
lomiri-history-service-0.5-r1.apk350.7 KiB2024-06-22 10:57:53
ocaml-omod-0.0.3-r3.apk351.9 KiB2024-03-23 20:49:48
xml2rfc-3.22.0-r0.apk352.6 KiB2024-07-05 00:07:27
spread-sheet-widget-dev-0.8-r0.apk352.9 KiB2021-11-13 22:25:44
coin-dev-4.0.0-r7.apk353.5 KiB2024-06-18 14:37:08
lgogdownloader-3.12-r2.apk354.0 KiB2024-04-22 17:58:03
musikcube-plugin-server-3.0.4-r0.apk354.4 KiB2024-07-17 06:25:13
py3-ly-pyc-0.9.8-r1.apk355.1 KiB2024-04-15 21:03:24
planner-0.14.92-r0.apk355.3 KiB2024-01-08 09:42:59
ocaml-down-dev-0.1.0-r3.apk356.6 KiB2024-03-23 20:49:31
checkpolicy-3.6-r0.apk357.0 KiB2024-01-08 09:42:49
freediameter-extensions-1.5.0-r1.apk357.4 KiB2022-11-06 10:59:40
fastbase64-5.2.8-r0.apk357.6 KiB2024-06-25 16:22:50
lomiri-app-launch-0.1.9-r3.apk357.7 KiB2024-06-22 10:57:53
py3-ovos-lingua-franca-0.4.8_alpha3-r2.apk357.8 KiB2024-05-31 06:16:30
hamster-time-tracker-pyc-3.0.3-r2.apk358.1 KiB2024-04-15 21:03:11
py3-flask-admin-pyc-1.6.1-r3.apk358.3 KiB2024-04-15 21:03:19
py3-pycaption-2.2.10-r0.apk358.9 KiB2024-05-26 03:47:46
ocaml-bos-dev-0.2.1-r2.apk359.0 KiB2024-03-23 20:49:21
sndfile-tools-doc-1.5-r1.apk361.0 KiB2023-07-29 22:01:34
fileshelter-5.1.2-r5.apk363.9 KiB2024-06-22 21:16:50
py3-hfst-3.16.0-r2.apk364.4 KiB2024-04-15 21:03:19
gloox-1.0.28-r0.apk364.6 KiB2023-11-24 00:24:57
lsmash-dev-2.14.5-r2.apk365.6 KiB2022-10-28 15:21:02
py3-django-suit-0.2.28-r8.apk365.8 KiB2024-08-05 23:33:36
torrent-file-editor-0.3.18-r0.apk366.1 KiB2023-07-02 22:04:13
gnome-latex-3.46.0-r0.apk367.7 KiB2024-07-02 04:14:35
keydb-cli-6.3.4-r0.apk368.7 KiB2024-05-25 20:53:52
wcm-0.8.0-r0.apk368.8 KiB2023-11-25 23:42:02
desed-1.2.1-r1.apk368.8 KiB2023-05-24 14:03:56
ocaml-biniou-dev-1.2.1-r5.apk369.3 KiB2024-03-23 20:49:19
pastel-0.9.0-r3.apk369.4 KiB2024-06-29 02:30:52
singular-dev-4.3.2-r2.apk370.0 KiB2023-08-01 15:19:54
highctidh-1.0.2024050500-r0.apk370.1 KiB2024-05-06 00:44:44
jackdaw-pyc-0.3.1-r1.apk370.3 KiB2024-04-15 21:03:12
mediastreamer2-5.3.41-r0.apk371.3 KiB2024-06-11 13:11:50
py3-igraph-pyc-0.11.6-r0.apk373.1 KiB2024-07-08 13:44:12
libntl-doc-11.5.1-r3.apk373.5 KiB2023-08-01 15:19:31
advancemame-doc-3.9-r4.apk373.6 KiB2023-03-16 01:55:46
perl-snmp-info-doc-3.970001-r0.apk374.3 KiB2024-04-02 23:39:42
lomiri-calculator-app-4.0.2-r0.apk374.8 KiB2024-03-15 17:50:53
php81-fileinfo-8.1.29-r0.apk376.9 KiB2024-06-06 20:04:14
kimchi-pyc-3.0.0-r7.apk378.0 KiB2024-04-15 21:03:12
clustershell-pyc-1.9.2-r1.apk378.0 KiB2024-05-23 21:23:08
freshrss-lang-1.23.1-r1.apk379.3 KiB2024-03-18 06:42:15
lumina-desktop-fm-1.6.2-r0.apk379.7 KiB2022-07-05 19:10:43
py3-highctidh-1.0.2024050500-r0.apk380.7 KiB2024-05-06 00:44:44
qtile-0.23.0-r2.apk381.8 KiB2024-08-04 21:36:18
grip-4.2.4-r0.apk382.9 KiB2023-01-26 19:27:33
py3-slixmpp-1.8.5-r2.apk382.9 KiB2024-04-15 07:15:34
schismtracker-20231029-r0.apk383.6 KiB2023-11-19 13:18:16
rnote-lang-0.11.0-r0.apk383.7 KiB2024-07-27 22:04:27
snapweb-0.7.0-r0.apk385.4 KiB2024-05-31 20:55:21
terminalpp-0.8.4-r0.apk385.4 KiB2022-10-13 03:21:59
cargo-sort-1.0.9_git20240110-r0.apk386.3 KiB2024-04-22 16:35:39
libdjinterop-0.20.2-r0.apk387.1 KiB2024-06-05 21:00:40
py3-pacparser-1.4.5-r0.apk387.5 KiB2024-06-30 20:03:14
py3-aioxmpp-0.13.3-r3.apk387.7 KiB2024-08-08 18:20:05
amber-0.3.3-r0.apk388.4 KiB2024-06-29 19:42:42
htslib-1.19-r0.apk388.9 KiB2023-12-12 17:44:29
ocaml-xml-light-dev-2.5-r0.apk389.0 KiB2024-03-23 20:50:11
atlantik-3.5.10_git20240323-r0.apk390.6 KiB2024-03-23 19:25:14
py3-xsdata-pyc-24.7-r0.apk393.1 KiB2024-07-30 12:11:43
solanum-3.0.1_git20220607-r1.apk393.7 KiB2023-02-13 14:20:14
py3-onelogin-3.1.6-r1.apk393.9 KiB2024-04-15 21:03:24
zycore-doc-1.5.0-r0.apk394.1 KiB2024-04-05 22:35:43
simgear-dev-2020.3.19-r1.apk394.2 KiB2024-04-22 17:58:15
piper-phonemize-dev-2023.11.14.4-r3.apk394.5 KiB2024-07-11 00:03:24
libretro-tyrquake-0_git20220409-r0.apk394.9 KiB2022-04-21 10:02:38
drumgizmo-0.9.20-r1.apk395.0 KiB2023-07-02 22:01:35
ocaml-ca-certs-nss-3.89.1-r1.apk395.1 KiB2024-03-23 20:49:22
libqofono-qt6-0.123-r1.apk395.5 KiB2024-06-22 10:57:53
noblenote-1.2.1-r1.apk396.0 KiB2022-10-28 15:21:06
gnome-latex-lang-3.46.0-r0.apk397.3 KiB2024-07-02 04:14:35
vkbasalt-0.3.2.10-r0.apk397.4 KiB2024-01-18 23:38:59
openvpn3-3.8.5-r0.apk398.5 KiB2024-05-20 07:06:46
klfc-doc-1.5.7-r0.apk400.4 KiB2022-08-21 15:20:06
ocaml-parsexp-0.16.0-r0.apk401.1 KiB2024-03-23 20:49:49
birdtray-1.9.0-r1.apk403.0 KiB2022-10-28 15:20:31
xml2rfc-pyc-3.22.0-r0.apk405.9 KiB2024-07-05 00:07:27
projectm-pulseaudio-3.1.12-r2.apk408.1 KiB2024-05-08 13:01:52
kodi-vfs-rar-20.1.0-r1.apk408.7 KiB2023-07-02 22:03:17
lizardfs-chunkserver-3.13.0-r13.apk409.3 KiB2024-04-22 17:58:05
py3-igraph-0.11.6-r0.apk409.4 KiB2024-07-08 13:44:12
nitrocli-0.4.1-r3.apk409.9 KiB2023-05-24 14:04:14
py3-owslib-pyc-0.31.0-r0.apk410.3 KiB2024-08-03 20:52:07
highctidh-dev-1.0.2024050500-r0.apk411.0 KiB2024-05-06 00:44:44
py3-fastavro-1.9.5-r0.apk411.8 KiB2024-07-09 09:33:17
lua5.1-luacov-html-1.0.0-r1.apk412.7 KiB2022-06-02 15:21:48
lua5.2-luacov-html-1.0.0-r1.apk412.8 KiB2022-06-02 15:21:48
lua5.3-luacov-html-1.0.0-r1.apk412.8 KiB2022-06-02 15:21:48
startup-2.0.3-r4.apk413.3 KiB2023-07-02 22:04:07
hare-compress-0_git20240623-r0.apk413.6 KiB2024-06-24 09:02:42
php84-opcache-8.4.0_alpha4-r0.apk415.1 KiB2024-08-01 12:09:14
svgbob-0.7.2-r0.apk415.2 KiB2023-09-09 23:28:52
qsynth-1.0.0-r0.apk416.4 KiB2024-06-30 15:27:51
ocaml-topkg-dev-1.0.5-r2.apk417.6 KiB2024-03-23 20:50:06
ocaml-qtest-2.11.2-r3.apk417.9 KiB2024-03-23 20:49:56
py3-telegram-bot-20.8-r2.apk418.2 KiB2024-08-05 23:33:36
diskonaut-0.11.0-r3.apk419.1 KiB2023-05-24 14:03:56
gaupol-pyc-1.12-r2.apk419.2 KiB2024-04-15 21:03:10
qtpass-1.4.0-r0.apk420.7 KiB2023-11-06 17:37:57
batmon-0.0.1-r0.apk421.6 KiB2024-06-18 14:37:08
pspp-doc-1.4.1-r4.apk421.9 KiB2024-06-10 06:08:21
arcticons-icon-theme-light-9.6.5.0-r0.apk423.4 KiB2024-06-05 17:58:05
arcticons-icon-theme-dark-9.6.5.0-r0.apk423.5 KiB2024-06-05 17:58:05
cargo-vendor-filterer-0.5.9-r1.apk424.0 KiB2023-05-24 14:03:55
lol-html-1.1.1-r1.apk424.6 KiB2024-07-02 12:40:18
libretro-neocd-0_git20220325-r1.apk424.7 KiB2024-07-12 12:31:25
cargo-run-bin-1.7.2-r0.apk424.7 KiB2024-01-19 02:11:36
seastar-dev-22.11.0_git20240711-r0.apk425.7 KiB2024-07-17 21:57:04
geotagging-0.7.2-r1.apk426.4 KiB2024-02-22 00:49:04
font-stix-ttf-2.13-r0.apk430.1 KiB2024-02-23 02:13:37
perl-dbix-class-0.082843-r1.apk430.2 KiB2023-07-03 22:52:45
ocaml-fix-dev-20220121-r2.apk430.5 KiB2024-03-23 20:49:33
ircd-hybrid-8.2.45-r0.apk431.0 KiB2024-07-03 05:45:53
hyfetch-1.4.11-r1.apk433.0 KiB2024-06-29 08:55:18
keydb-benchmark-6.3.4-r0.apk433.0 KiB2024-05-25 20:53:52
projectm-3.1.12-r2.apk433.9 KiB2024-05-08 13:01:52
py3-ovos-lingua-franca-pyc-0.4.8_alpha3-r2.apk436.7 KiB2024-05-31 06:16:30
lcalc-doc-2.0.5-r1.apk437.2 KiB2023-03-16 01:57:37
spice-html5-0.3.0-r1.apk438.5 KiB2021-09-09 23:56:45
mnemosyne-lang-2.10.1-r1.apk438.6 KiB2024-04-19 13:10:07
py3-textual-0.47.1-r1.apk438.9 KiB2024-04-15 21:03:26
syncthing-gtk-0.9.4.5-r2.apk440.0 KiB2024-08-08 18:20:05
xsane-lang-0.999-r1.apk440.4 KiB2022-12-16 07:48:07
cln-1.3.7-r0.apk441.8 KiB2024-01-28 16:21:56
knxd-0.14.61-r0.apk442.3 KiB2024-05-13 17:37:21
welle-io-2.4-r5.apk442.8 KiB2024-04-24 20:49:43
ghostcloud-0.9.9.5-r2.apk442.9 KiB2024-04-30 12:28:55
mimalloc1-dev-1.8.6-r0.apk443.7 KiB2024-05-20 00:03:43
megazeux-doc-2.93-r0.apk443.9 KiB2024-01-19 01:35:39
pdm-pyc-2.12.4-r1.apk444.2 KiB2024-04-15 21:03:17
libretro-beetle-pce-fast-0_git20220205-r0.apk444.9 KiB2022-04-21 10:02:36
reaver-wps-fork-t6x-1.6.6-r1.apk445.2 KiB2022-10-28 15:21:39
py3-aiohttp-debugtoolbar-0.6.1-r2.apk445.9 KiB2024-08-05 23:33:36
ocaml-cairo2-dev-0.6.2-r2.apk446.2 KiB2024-03-23 20:49:22
esptool-pyc-4.7.0-r1.apk446.3 KiB2024-04-15 21:03:10
heh-0.6.0-r0.apk446.5 KiB2024-07-31 21:42:35
ocaml-ca-certs-nss-dev-3.89.1-r1.apk447.7 KiB2024-03-23 20:49:22
lomiri-clock-app-lang-4.0.4-r0.apk448.0 KiB2024-07-06 14:53:27
libretro-beetle-supergrafx-0_git20220218-r0.apk449.5 KiB2022-04-21 10:02:36
exabgp-4.2.21-r5.apk449.6 KiB2024-08-07 12:30:59
php84-fileinfo-8.4.0_alpha4-r0.apk449.6 KiB2024-08-01 12:09:14
py3-flask-bootstrap-3.3.7.1-r8.apk449.8 KiB2024-04-15 21:03:19
lout-doc-3.42.2-r0.apk452.5 KiB2023-06-16 22:20:17
qstardict-2.0.2-r0.apk453.3 KiB2024-07-02 04:50:14
pypy-tkinter-7.3.12-r0.apk453.4 KiB2023-06-16 22:21:23
gnome-metronome-1.3.0-r0.apk454.9 KiB2023-06-16 22:19:28
tomcat9-examples-9.0.93-r0.apk455.4 KiB2024-08-10 17:31:06
ocaml-metrics-dev-0.4.0-r3.apk456.0 KiB2024-03-23 20:49:45
ocaml-otoml-1.0.5-r0.apk459.6 KiB2024-04-22 06:13:57
timeshift-24.06.3-r0.apk460.0 KiB2024-07-25 00:43:49
zint-2.13.0-r0.apk462.5 KiB2024-06-26 11:02:36
featherpad-lang-1.5.1-r0.apk462.8 KiB2024-05-10 00:48:52
volatility3-2.7.0-r0.apk465.1 KiB2024-06-11 06:08:41
qflipper-1.3.3-r0.apk469.5 KiB2023-11-15 23:42:32
perl-html-object-doc-0.5.0-r0.apk469.8 KiB2024-05-04 15:37:22
mapnik-dev-3.1.0-r28.apk470.5 KiB2024-08-06 09:47:02
libppl-1.2-r1.apk470.8 KiB2023-05-15 16:46:12
gstreamermm-1.10.0-r4.apk471.0 KiB2022-10-28 15:20:42
carburetor-4.5.1-r0.apk471.6 KiB2024-07-14 17:29:47
mm-common-1.0.5-r0.apk473.0 KiB2023-01-01 22:06:33
libmedc-python-pyc-4.1.1-r4.apk475.4 KiB2024-06-18 14:37:19
wordgrinder-0.8-r2.apk475.6 KiB2024-07-02 18:29:13
vcdimager-2.0.1-r3.apk476.0 KiB2023-04-30 21:31:21
mmtc-0.3.2-r0.apk476.2 KiB2023-11-12 12:40:02
bpftop-0.5.2-r0.apk479.7 KiB2024-07-29 04:04:31
chicago95-3.0.1_git20240619-r0.apk481.1 KiB2024-06-19 19:34:20
sutf-5.2.8-r0.apk481.7 KiB2024-06-25 16:22:50
py3-scrapy-pyc-2.11.1-r1.apk482.0 KiB2024-04-15 21:03:26
logwatch-7.10-r1.apk483.5 KiB2024-05-05 15:28:08
php82-pdlib-1.1.0-r1.apk483.5 KiB2024-04-02 09:39:11
quakespasm-0.96.3-r0.apk485.2 KiB2024-08-03 04:13:19
ocaml-alcotest-1.5.0-r4.apk486.3 KiB2024-04-22 06:13:54
turn-rs-balance-2.1.3-r0.apk486.5 KiB2024-04-18 14:02:59
motion-lang-4.6.0-r0.apk487.8 KiB2023-11-13 22:52:23
ocaml-ocp-index-1.3.6-r0.apk488.5 KiB2024-03-23 20:49:48
mint-x-theme-gtk2-2.1.1-r0.apk489.9 KiB2023-06-16 22:20:26
libretro-pcsx-rearmed-0_git20220409-r0.apk490.3 KiB2022-04-21 10:02:38
ocaml-bos-0.2.1-r2.apk491.6 KiB2024-03-23 20:49:21
ocaml-cmdliner-1.1.1-r3.apk494.8 KiB2024-03-23 20:49:24
macchina-6.1.8-r1.apk496.2 KiB2023-05-24 14:04:14
htslib-static-1.19-r0.apk496.2 KiB2023-12-12 17:44:29
visidata-pyc-2.11.1-r2.apk497.4 KiB2024-04-15 21:03:33
py3-openwisp-utils-1.0.4-r4.apk497.6 KiB2024-08-07 13:32:24
tpm2-tools-doc-5.6-r1.apk498.3 KiB2024-07-12 02:41:37
getting-things-gnome-doc-0.6-r3.apk498.5 KiB2024-04-15 21:03:10
py3-litex-hub-pythondata-cpu-cva5-2024.04-r0.apk500.0 KiB2024-07-05 20:32:57
bore-0.5.0-r1.apk500.2 KiB2023-05-24 14:03:54
libsigrok-0.5.2-r2.apk500.9 KiB2023-05-15 16:46:13
uucp-1.07-r5.apk501.3 KiB2023-06-19 18:39:56
libretro-openlara-0_git20210121-r0.apk501.5 KiB2022-04-21 10:02:38
py3-imageio-pyc-2.34.0-r1.apk502.0 KiB2024-04-17 02:54:45
py3-pyinstaller-pyc-6.6.0-r0.apk502.3 KiB2024-05-13 17:37:21
materia-dark-kde-plasma-20220823-r0.apk502.9 KiB2023-03-19 22:40:15
plots-0.7.0-r0.apk506.3 KiB2023-09-25 04:43:09
ocaml-sexplib-0.16.0-r0.apk508.5 KiB2024-03-23 20:50:05
libguestfs-static-1.52.0-r1.apk508.6 KiB2024-04-15 21:03:13
mint-x-theme-gtk4-2.1.1-r0.apk510.5 KiB2023-06-16 22:20:27
log4cxx-1.1.0-r1.apk510.6 KiB2023-09-16 10:24:26
cdist-7.0.0-r6.apk511.0 KiB2024-08-04 21:13:38
ovn-doc-24.03.1-r0.apk511.9 KiB2024-04-12 06:15:47
php81-opcache-8.1.29-r0.apk515.2 KiB2024-06-06 20:04:14
barman-pyc-3.10.1-r0.apk515.9 KiB2024-06-25 07:48:02
litehtml-static-0.9-r0.apk516.9 KiB2024-06-22 10:57:53
ocaml-lwd-0.3-r0.apk517.5 KiB2024-03-23 20:49:42
liquid-dsp-dev-1.5.0-r0.apk518.6 KiB2023-01-24 16:47:55
scooper-1.3-r1.apk519.3 KiB2023-05-15 16:46:20
riemann-cli-0.8.0-r2.apk524.8 KiB2023-05-24 14:04:20
py3-beartype-pyc-0.18.5-r0.apk525.1 KiB2024-04-25 00:50:14
httrack-doc-3.49.2-r5.apk528.0 KiB2023-05-15 16:45:55
ocaml-ocp-index-dev-1.3.6-r0.apk529.3 KiB2024-03-23 20:49:48
splitter-0.3.0-r0.apk529.5 KiB2024-02-25 20:38:45
py3-nikola-pyc-8.3.1-r0.apk529.9 KiB2024-06-25 03:58:47
qtmir-0.7.2-r1.apk530.4 KiB2024-06-22 10:57:53
ocaml-otr-dev-0.3.10-r2.apk531.5 KiB2024-03-23 20:49:49
parse-changelog-0.6.8-r0.apk531.7 KiB2024-06-30 20:06:24
ttyper-1.5.0-r0.apk532.9 KiB2024-06-13 03:48:08
aspell-es-1.11-r0.apk533.0 KiB2022-05-12 09:38:10
xfe-lang-1.46.2-r0.apk533.7 KiB2024-06-13 03:48:08
jrsonnet-cli-0.4.2-r1.apk534.5 KiB2023-05-24 14:04:09
kimchi-3.0.0-r7.apk535.9 KiB2024-04-15 21:03:12
marxan-4.0.7-r1.apk536.7 KiB2022-10-28 15:21:05
felix-2.13.0-r0.apk537.0 KiB2024-05-04 13:41:58
rpg-cli-1.0.1-r1.apk538.2 KiB2023-05-24 14:04:21
mkdocs-bootswatch-1.1-r5.apk538.4 KiB2024-08-04 21:33:44
faust-static-2.60.3-r2.apk538.6 KiB2023-07-02 22:01:56
mapserver-dev-8.0.1-r4.apk539.1 KiB2024-05-18 23:28:47
mailutils-libs-3.17-r0.apk540.3 KiB2024-01-19 18:21:26
firewalld-lang-2.1.2-r1.apk540.9 KiB2024-08-10 21:46:32
ocaml-zed-3.1.0-r3.apk542.5 KiB2024-03-23 20:50:12
nitro-2.7_beta8-r2.apk543.3 KiB2023-10-19 16:09:53
libretro-picodrive-0_git20220405-r0.apk545.2 KiB2022-04-21 10:02:38
lomiri-system-settings-lang-1.1.0-r1.apk548.7 KiB2024-06-22 10:57:53
py3-ovos-ocp-audio-plugin-0.0.6_alpha2-r3.apk550.2 KiB2024-05-31 06:16:30
postgresql-pg_graphql-1.4.2-r0.apk551.5 KiB2023-12-18 22:16:36
ocaml-conduit-dev-6.1.0-r0.apk551.5 KiB2024-04-22 06:13:55
platformio-core-pyc-6.1.7-r3.apk551.8 KiB2024-08-04 21:36:32
ocaml-bisect_ppx-dev-2.8.3-r0.apk553.0 KiB2024-03-23 20:49:20
apk-tools3-dbg-3.0.0_pre2_git20240401-r1.apk555.8 KiB2024-05-19 23:22:00
pypy3-dev-7.3.12-r0.apk556.3 KiB2023-06-16 22:21:25
opentelemetry-cpp-1.11.0-r4.apk557.4 KiB2024-07-14 22:15:40
bees-0.10-r0.apk557.5 KiB2023-09-05 12:10:14
ocaml-re-1.11.0-r1.apk559.3 KiB2024-03-23 20:49:56
morph-browser-1.1.0-r1.apk559.6 KiB2024-06-22 10:57:53
libguestfs-doc-1.52.0-r1.apk559.8 KiB2024-04-15 21:03:13
libretro-daphne-0_git20210108-r1.apk560.4 KiB2021-06-03 15:02:08
py3-cassandra-driver-pyc-3.29.1-r0.apk560.8 KiB2024-04-15 21:03:18
libuninameslist-20230916-r0.apk562.5 KiB2023-09-18 04:50:05
ocaml-ipaddr-dev-5.3.1-r2.apk564.4 KiB2024-03-23 20:49:35
perl-libintl-perl-doc-1.33-r1.apk566.7 KiB2023-07-03 22:52:45
orage-4.18.0-r0.apk567.7 KiB2023-02-23 23:04:42
font-tiresias-0_git20200704-r0.apk568.3 KiB2023-01-02 22:42:03
libmedc-4.1.1-r4.apk568.4 KiB2024-06-18 14:37:18
ocaml-ocp-indent-1.8.2-r2.apk570.9 KiB2024-03-23 20:49:48
wayfire-plugins-extra-0.8.1-r1.apk571.3 KiB2024-07-13 16:16:50
php81-mbstring-8.1.29-r0.apk571.6 KiB2024-06-06 20:04:14
pari-2.15.5-r0.apk573.6 KiB2024-07-22 21:12:50
java-asmtools-8.0.09-r0.apk573.9 KiB2023-11-06 17:36:55
py3-dbus-fast-2.22.1-r0.apk575.2 KiB2024-06-29 01:22:11
ocaml-uuidm-tools-0.9.8-r2.apk576.5 KiB2024-03-23 20:50:10
ocaml-ounit-2.2.7-r3.apk576.6 KiB2024-03-23 20:49:49
nsh-0.4.2-r1.apk577.5 KiB2023-05-24 14:04:14
fcitx5-configtool-5.1.6-r0.apk577.8 KiB2024-06-26 04:20:17
ocaml-jsonm-tools-1.0.2-r0.apk578.2 KiB2024-04-22 06:13:56
lomiri-download-manager-0.1.3-r3.apk578.3 KiB2024-06-26 03:46:51
ocaml-bitstring-dev-4.1.0-r3.apk578.4 KiB2024-03-23 20:49:21
ocaml-asn1-combinators-dev-0.2.6-r2.apk578.6 KiB2024-03-23 20:49:16
opendht-libs-3.1.7-r2.apk579.8 KiB2024-04-15 21:03:17
gutenprint-libs-5.3.4-r4.apk581.2 KiB2024-07-23 19:22:35
ocaml-tsdl-dev-1.0.0-r0.apk582.1 KiB2024-04-22 06:13:58
xonsh-0.18.2-r0.apk588.2 KiB2024-07-22 21:12:51
boinc-dev-7.24.3-r0.apk590.7 KiB2024-02-22 01:03:11
mdbook-katex-0.8.1-r0.apk593.3 KiB2024-05-20 07:58:00
uranium-5.2.2-r3.apk595.5 KiB2024-04-15 21:03:33
greetd-wlgreet-0.5.0-r0.apk595.6 KiB2024-04-22 04:42:26
py3-aiosmb-0.4.10-r1.apk596.7 KiB2024-04-15 21:03:17
lomiri-indicator-network-1.0.2-r2.apk598.4 KiB2024-06-22 10:57:53
gnu-apl-dev-1.9-r0.apk598.5 KiB2024-07-04 08:40:59
gamja-1.0.0_beta9-r0.apk599.2 KiB2023-11-26 22:35:02
ocaml-erm_xml-0_git20211229-r2.apk600.7 KiB2024-03-23 20:49:32
ocaml-fileutils-dev-0.6.4-r2.apk603.1 KiB2024-03-23 20:49:33
android-translation-layer-dbg-0_git20240527-r0.apk603.2 KiB2024-05-28 10:54:11
mint-x-theme-gtk3-2.1.1-r0.apk603.5 KiB2023-06-16 22:20:26
moosefs-static-3.0.117-r1.apk605.3 KiB2023-06-17 21:06:38
gufw-24.04-r2.apk606.5 KiB2024-08-07 12:44:04
mnemosyne-2.10.1-r1.apk607.1 KiB2024-04-19 13:10:07
ettercap-0.8.3.1-r3.apk610.0 KiB2024-07-13 03:58:38
ppl-dev-1.2-r1.apk612.5 KiB2023-05-15 16:46:18
postgresql-pg_later-0.0.14-r0.apk613.2 KiB2024-01-31 01:38:07
ocaml-gen-dev-1.1-r1.apk613.7 KiB2024-03-23 20:49:33
ocaml-notty-dev-0.2.3-r0.apk614.8 KiB2024-03-23 20:49:46
eva-0.3.1-r2.apk615.3 KiB2023-05-24 14:03:58
ocaml-ppx_sexp_conv-0.16.0-r0.apk616.0 KiB2024-03-23 20:49:51
create-tauri-app-4.1.0-r0.apk617.2 KiB2024-08-03 06:33:19
py3-mitmproxy-rs-0.5.1-r0.apk618.5 KiB2024-04-15 21:03:24
belle-sip-5.3.38-r0.apk624.6 KiB2024-04-15 07:15:09
font-chivo-mono-0_git20221110-r0.apk625.9 KiB2022-12-09 22:19:04
ocaml-amqp-client-2.3.0-r0.apk627.2 KiB2024-04-22 06:13:54
mnemosyne-pyc-2.10.1-r1.apk627.8 KiB2024-04-19 13:10:07
projectm-dev-3.1.12-r2.apk628.8 KiB2024-05-08 13:01:52
py3-trimesh-3.22.1-r2.apk629.5 KiB2024-06-18 14:37:20
libretro-snes9x-0_git20220414-r0.apk632.3 KiB2022-04-21 10:02:38
php84-mbstring-8.4.0_alpha4-r0.apk633.4 KiB2024-08-01 12:09:14
kalker-2.2.0-r0.apk633.5 KiB2024-06-27 20:05:15
pure-data-libs-0.54.1-r0.apk634.4 KiB2023-11-12 17:45:10
gutenprint-samples-5.3.4-r4.apk635.6 KiB2024-07-23 19:22:35
ocaml-ocp-indent-dev-1.8.2-r2.apk638.4 KiB2024-03-23 20:49:48
lumins-0.4.0-r2.apk640.1 KiB2023-05-24 14:04:14
libretro-bluemsx-0_git20220213-r0.apk641.2 KiB2022-04-21 10:02:36
bonzomatic-20230615-r0.apk641.7 KiB2023-09-28 05:16:37
mkdocs-gitbook-0.0.1-r5.apk644.1 KiB2024-08-04 21:34:15
dbus-waiter-0.2.0-r0.apk644.7 KiB2023-10-29 12:12:25
transito-doc-0.6.1-r0.apk648.2 KiB2024-07-07 16:13:33
mkdocs-cluster-0.0.9-r5.apk651.1 KiB2024-08-04 21:34:15
kondo-0.8-r0.apk651.7 KiB2023-12-20 22:45:25
font-material-icons-4.0.0-r0.apk651.9 KiB2023-02-27 01:06:58
paperde-0.2.1-r2.apk652.4 KiB2024-07-11 13:15:04
libunicode-0.4.0-r0.apk653.3 KiB2024-01-19 00:29:39
py3-telegram-bot-pyc-20.8-r2.apk653.9 KiB2024-08-05 23:33:36
supermin-5.2.2-r2.apk654.5 KiB2024-04-18 11:11:28
ocaml-topkg-1.0.5-r2.apk654.7 KiB2024-03-23 20:50:06
mint-y-theme-gtk2-2.1.1-r0.apk656.1 KiB2023-06-16 22:20:27
py3-cvxpy-1.2.1-r5.apk656.3 KiB2024-08-06 12:45:51
mepo-1.2.1-r1.apk663.1 KiB2024-06-08 20:56:17
libvmime-0.9.2.175-r0.apk664.0 KiB2024-04-26 06:25:51
nicotine-plus-lang-3.3.4-r0.apk666.2 KiB2024-07-22 21:12:50
ocaml-extlib-1.7.9-r2.apk666.7 KiB2024-03-23 20:49:32
apk-tools3-dev-3.0.0_pre2_git20240401-r1.apk668.1 KiB2024-05-19 23:22:00
bobcat-4.09.00-r0.apk669.0 KiB2023-10-06 06:58:06
libsemigroups-2.7.3-r0.apk670.3 KiB2024-01-22 06:22:03
perl-gtk2-doc-1.24993-r6.apk670.4 KiB2024-06-10 06:08:21
komikku-pyc-1.53.0-r0.apk670.5 KiB2024-08-10 17:30:50
gforth-0.7.3-r3.apk672.9 KiB2021-10-15 02:20:00
udpt-3.1.2-r0.apk673.0 KiB2023-05-26 21:46:03
py3-aioxmpp-pyc-0.13.3-r3.apk673.1 KiB2024-08-08 18:20:05
mono-dev-6.12.0.205-r1.apk673.9 KiB2023-07-29 22:01:28
firehol-doc-3.1.7-r2.apk674.6 KiB2023-05-13 20:21:00
py3-litex-hub-pythondata-cpu-serv-2024.04-r0.apk675.2 KiB2024-07-05 20:32:58
ocaml-xmlm-1.4.0-r2.apk675.9 KiB2024-03-23 20:50:11
yaru-sounds-23.10.0-r0.apk676.1 KiB2024-04-18 02:06:45
netdiscover-0.10-r0.apk679.6 KiB2023-09-29 23:01:14
pitivi-lang-2023.03-r1.apk679.9 KiB2024-04-16 15:49:05
featherpad-1.5.1-r0.apk679.9 KiB2024-05-10 00:48:52
ocaml-higlo-dev-0.9-r0.apk681.1 KiB2024-04-22 06:13:56
libmdbx-0.11.8-r0.apk683.6 KiB2022-07-02 04:10:35
openvpn3-dev-3.8.5-r0.apk686.3 KiB2024-05-20 07:06:46
bordeaux-en_voices-0.8.1-r0.apk687.4 KiB2024-02-26 21:07:12
ocaml-biniou-1.2.1-r5.apk688.3 KiB2024-03-23 20:49:19
smile-2.9.5-r0.apk693.7 KiB2024-06-23 20:58:20
bobcat-dev-4.09.00-r0.apk694.0 KiB2023-10-06 06:58:06
ecasound-2.9.3-r3.apk695.1 KiB2023-09-25 19:24:30
fcitx5-bamboo-1.0.6-r1.apk696.7 KiB2024-07-04 08:22:24
ocaml-down-0.1.0-r3.apk696.8 KiB2024-03-23 20:49:31
grommunio-dav-2.0_git20240327-r3.apk696.9 KiB2024-06-18 14:37:17
pitivi-pyc-2023.03-r1.apk703.7 KiB2024-04-16 15:49:05
openbgpd-dbg-8.5-r0.apk704.5 KiB2024-07-29 10:45:16
pypykatz-pyc-0.6.10-r0.apk707.7 KiB2024-08-08 20:10:26
mpdris2-rs-0.2.3-r0.apk708.2 KiB2024-03-05 21:05:53
ocaml-qcheck-0.18.1-r3.apk709.4 KiB2024-03-23 20:49:56
ocaml-cstruct-dev-6.1.0-r3.apk711.4 KiB2024-03-23 20:49:27
py3-litex-hub-pythondata-cpu-vexriscv-2024.04-r0.apk712.6 KiB2024-07-05 20:32:58
ocaml-cohttp-5.3.1-r0.apk714.6 KiB2024-04-22 06:13:54
sing-geosite-20231212122459-r0.apk715.3 KiB2023-12-13 17:19:02
nginx-ultimate-bad-bot-blocker-4.2023.10.4046-r0.apk716.1 KiB2023-11-06 17:37:35
simp1e-cursors-solarized-0_git20211003-r0.apk717.4 KiB2022-02-08 11:52:49
sudo-ldap-1.9.14-r1.apk718.1 KiB2023-07-29 22:01:34
pacparser-1.4.5-r0.apk719.3 KiB2024-06-30 20:03:14
dialect-lang-2.4.2-r0.apk722.6 KiB2024-07-29 04:04:31
getting-things-gnome-0.6-r3.apk722.9 KiB2024-04-15 21:03:10
qtile-pyc-0.23.0-r2.apk724.3 KiB2024-08-04 21:36:18
py3-slixmpp-pyc-1.8.5-r2.apk729.5 KiB2024-04-15 07:15:34
tsung-1.8.0-r2.apk730.2 KiB2023-12-19 07:57:34
py3-beartype-0.18.5-r0.apk730.8 KiB2024-04-25 00:50:14
hunspell-ca-es-3.0.7-r0.apk731.3 KiB2022-12-04 12:25:24
py3-trimesh-pyc-3.22.1-r2.apk731.3 KiB2024-06-18 14:37:20
castor-0.9.0-r2.apk731.5 KiB2023-05-24 14:03:55
ocaml-gettext-dev-0.4.2-r3.apk733.1 KiB2024-03-23 20:49:34
yaru-theme-mate-23.10.0-r0.apk735.5 KiB2024-04-18 02:06:45
git-cola-pyc-4.4.1-r1.apk738.7 KiB2024-04-15 21:03:10
hdr10plus-tool-1.6.0-r0.apk738.9 KiB2023-06-16 22:19:49
zsh-histdb-skim-0.8.6-r0.apk740.8 KiB2023-05-29 20:34:39
simp1e-cursors-0_git20211003-r0.apk741.1 KiB2022-02-08 11:52:49
video-trimmer-0.8.2-r0.apk742.1 KiB2023-10-09 14:53:41
apache2-mod-perl-2.0.13-r1.apk742.5 KiB2024-06-10 06:08:21
typstfmt-0.2.7-r0.apk743.1 KiB2024-01-24 23:53:11
nextpnr-generic-0.7-r0.apk744.7 KiB2024-06-27 02:55:45
dlib-19.24.4-r0.apk745.1 KiB2024-04-02 09:39:09
yaru-shell-23.10.0-r0.apk745.6 KiB2024-04-18 02:06:45
eww-dbg-0.4.0-r1.apk746.1 KiB2023-05-24 14:03:58
buildcache-0.28.9-r0.apk747.1 KiB2024-01-30 15:17:01
prjtrellis-db-machxo3d-0_git20230929-r0.apk747.7 KiB2024-01-12 02:25:41
responder-3.1.4.0-r0.apk749.1 KiB2024-01-05 21:29:51
freshrss-doc-1.23.1-r1.apk751.2 KiB2024-03-18 06:42:15
qt6-qtgrpc-6.7.2-r0.apk753.2 KiB2024-07-17 13:04:47
py3-python-stdnum-1.19-r2.apk756.4 KiB2024-08-06 13:00:59
rtw89-src-7_p20230725-r0.apk758.8 KiB2023-07-26 06:22:58
maxima-doc-5.47.0-r8.apk760.9 KiB2024-07-02 15:39:49
ocaml-utop-dev-2.9.1-r4.apk762.8 KiB2024-04-04 10:39:21
twiggy-0.6.0-r3.apk764.2 KiB2023-05-24 14:04:27
httrack-3.49.2-r5.apk764.8 KiB2023-05-15 16:45:55
py3-livestream-2.0.0-r2.apk767.1 KiB2024-04-17 02:54:45
yaru-theme-purple-23.10.0-r0.apk767.1 KiB2024-04-18 02:06:45
yaru-theme-olive-23.10.0-r0.apk769.2 KiB2024-04-18 02:06:45
yaru-theme-prussiangreen-23.10.0-r0.apk769.4 KiB2024-04-18 02:06:45
yaru-theme-red-23.10.0-r0.apk770.2 KiB2024-04-18 02:06:45
yaru-theme-viridian-23.10.0-r0.apk770.3 KiB2024-04-18 02:06:45
faust-dev-2.60.3-r2.apk770.5 KiB2023-07-02 22:01:54
dnssec-tools-2.2.3-r11.apk771.5 KiB2024-06-10 06:08:21
yaru-theme-magenta-23.10.0-r0.apk771.7 KiB2024-04-18 02:06:45
yaru-theme-bark-23.10.0-r0.apk772.9 KiB2024-04-18 02:06:45
yaru-theme-sage-23.10.0-r0.apk773.0 KiB2024-04-18 02:06:45
ocaml-iri-dev-1.0.0-r0.apk773.1 KiB2024-04-22 06:13:56
grass-0.12.3-r1.apk774.5 KiB2023-05-24 14:04:07
yaru-theme-blue-23.10.0-r0.apk775.7 KiB2024-04-18 02:06:45
simp1e-cursors-dark-0_git20211003-r0.apk779.7 KiB2022-02-08 11:52:49
ocaml-xtmpl-dev-0.19.0-r0.apk779.9 KiB2024-04-22 06:13:59
ocaml-otoml-dev-1.0.5-r0.apk782.9 KiB2024-04-22 06:13:57
hyprland-dev-0.41.2-r1.apk784.2 KiB2024-06-29 19:45:21
eiwd-2.16-r0.apk784.7 KiB2024-03-20 20:07:16
ocaml-uutf-1.0.3-r2.apk785.6 KiB2024-03-23 20:50:11
azpainter-3.0.9-r0.apk788.3 KiB2024-08-07 21:18:53
xboard-4.9.1-r3.apk788.8 KiB2024-07-23 19:23:19
mkdocs-bootstrap386-0.0.2-r5.apk791.3 KiB2024-08-04 21:33:18
font-chivo-0_git20221110-r0.apk792.4 KiB2022-12-09 22:19:04
lumina-desktop-coreutils-1.6.2-r0.apk793.8 KiB2022-07-05 19:10:43
php81-pecl-swoole-6.0.0-r0.apk793.9 KiB2024-08-08 12:55:06
ocaml-erm_xml-dev-0_git20211229-r2.apk794.9 KiB2024-03-23 20:49:32
otrs-doc-6.0.48-r2.apk795.1 KiB2024-07-07 19:11:32
kmscon-9.0.0-r0.apk800.3 KiB2022-10-05 00:06:46
php81-pecl-xhprof-assets-2.3.10-r0.apk800.6 KiB2024-07-11 07:29:25
powder-toy-97.0.352-r0.apk801.6 KiB2023-05-06 20:12:26
php81-pecl-mongodb-1.19.3-r0.apk802.1 KiB2024-06-22 10:57:53
php84-pecl-mongodb-1.19.3-r0.apk802.7 KiB2024-07-05 17:42:19
cliphist-0.5.0-r5.apk803.3 KiB2024-07-04 08:22:22
azure-iot-sdk-c-static-1.11.0-r0.apk804.3 KiB2023-10-19 16:09:52
libabigail-2.3-r0.apk804.6 KiB2023-05-03 10:33:10
gutenprint-static-5.3.4-r4.apk805.7 KiB2024-07-23 19:22:35
simavr-dev-1.7-r1.apk805.7 KiB2022-10-28 15:21:40
draco-1.5.7-r1.apk805.9 KiB2024-08-03 16:04:44
pulumi-watch-0.1.5-r2.apk806.2 KiB2023-05-24 14:04:18
nmap-parse-output-doc-1.5.1-r0.apk807.5 KiB2022-06-12 21:54:27
php84-pecl-xhprof-assets-2.3.10-r0.apk807.9 KiB2024-07-11 00:06:26
gutenprint-5.3.4-r4.apk810.0 KiB2024-07-23 19:22:34
pyradio-pyc-0.9.3.9-r0.apk810.8 KiB2024-07-07 05:25:42
cherrytree-lang-1.1.2-r0.apk812.3 KiB2024-04-09 23:13:33
weggli-0.2.4-r1.apk812.6 KiB2023-05-24 14:04:32
yices2-libs-2.6.4-r0.apk812.7 KiB2023-02-10 06:28:11
emacs-helm-3.9.7_git20240329-r0.apk815.2 KiB2024-04-02 09:39:09
yeti-1.1-r0.apk816.0 KiB2024-07-26 04:49:27
php84-pecl-swoole-6.0.0-r0.apk816.4 KiB2024-07-05 17:42:19
cimg-3.3.5-r0.apk824.6 KiB2024-03-14 17:18:08
git-cola-4.4.1-r1.apk826.2 KiB2024-04-15 21:03:10
planner-lang-0.14.92-r0.apk826.9 KiB2024-01-08 09:42:59
geonames-0.3.1-r2.apk827.3 KiB2024-06-22 10:57:53
simp1e-cursors-snow-0_git20211003-r0.apk827.9 KiB2022-02-08 11:52:49
ocaml-sexplib-dev-0.16.0-r0.apk829.6 KiB2024-03-23 20:50:05
ocaml-alcotest-dev-1.5.0-r4.apk830.5 KiB2024-04-22 06:13:54
perl-gtk2-1.24993-r6.apk831.9 KiB2024-06-10 06:08:21
turn-rs-cli-2.1.3-r0.apk833.9 KiB2024-04-18 14:02:59
wget2-dbg-2.1.0-r0.apk834.3 KiB2023-09-04 10:04:04
leptosfmt-0.1.18-r0.apk835.1 KiB2024-01-19 15:13:14
font-fira-code-6.2-r0.apk836.0 KiB2022-07-23 23:12:23
advancemame-menu-3.9-r4.apk837.0 KiB2023-03-16 01:55:46
ttdl-4.4.0-r0.apk837.6 KiB2024-07-19 16:03:18
vixl-7.0.0-r0.apk837.9 KiB2024-02-20 15:45:22
mml-1.0.0-r0.apk841.3 KiB2023-11-12 12:21:13
liblinbox-dev-1.7.0-r3.apk846.5 KiB2023-08-01 15:19:30
py3-pyglet-2.0.16-r0.apk850.9 KiB2024-07-19 16:25:20
font-katex-0.16.2-r0.apk851.6 KiB2022-09-20 19:40:10
hstdb-2.1.0-r2.apk852.1 KiB2023-05-24 14:04:08
volatility3-pyc-2.7.0-r0.apk854.2 KiB2024-06-11 06:08:41
yaru-theme-23.10.0-r0.apk855.9 KiB2024-04-18 02:06:45
gufw-lang-24.04-r2.apk856.8 KiB2024-08-07 12:44:04
simp1e-cursors-breeze-0_git20211003-r0.apk857.1 KiB2022-02-08 11:52:49
rezolus-2.11.1-r3.apk857.2 KiB2023-05-24 14:04:20
tealdeer-1.6.1-r2.apk860.7 KiB2023-07-02 22:04:11
cddlib-doc-0.94m-r2.apk863.7 KiB2023-08-01 15:19:02
qucs-s-lang-1.1.0-r1.apk864.6 KiB2023-08-01 15:19:52
ndpi-dev-4.10-r0.apk867.1 KiB2024-08-06 13:21:07
silc-client-1.1.11-r17.apk867.6 KiB2024-06-10 06:08:21
agate-3.3.7-r0.apk869.8 KiB2024-04-15 21:16:23
gr-satellites-5.5.0-r1.apk869.9 KiB2024-04-29 16:49:12
py3-textual-pyc-0.47.1-r1.apk871.3 KiB2024-04-15 21:03:26
pyradio-0.9.3.9-r0.apk871.7 KiB2024-07-07 05:25:42
snapper-0.11.1-r0.apk871.7 KiB2024-07-12 07:01:30
brogue-1.13-r0.apk871.9 KiB2024-06-27 22:02:55
libretro-parallel-n64-0_git20220406-r0.apk872.1 KiB2022-04-21 10:02:38
libretro-theodore-3.1-r0.apk872.5 KiB2022-04-19 21:28:24
rust-script-0.34.0-r0.apk872.9 KiB2023-09-27 22:10:23
sc-controller-pyc-0.4.8.13-r1.apk873.0 KiB2024-06-18 14:37:20
sshsrv-1.0-r7.apk873.2 KiB2024-07-04 08:22:38
keepassxc-browser-1.8.9-r0.apk876.5 KiB2023-11-06 21:35:38
boinc-lang-7.24.3-r0.apk877.0 KiB2024-02-22 01:03:11
plib-1.8.5-r3.apk877.5 KiB2023-10-30 00:36:33
ocaml-ctypes-dev-0.20.1-r2.apk877.7 KiB2024-03-23 20:49:28
imgdiff-1.0.2-r21.apk879.5 KiB2024-07-04 08:22:27
py-spy-0.3.14-r3.apk882.8 KiB2023-07-02 22:03:52
mitmproxy-pyc-10.2.0-r0.apk883.1 KiB2024-04-15 21:03:16
ocaml-x509-0.16.0-r2.apk883.2 KiB2024-03-23 20:50:11
timeshift-lang-24.06.3-r0.apk884.2 KiB2024-07-25 00:43:49
mdbook-plantuml-0.8.0-r0.apk885.3 KiB2024-07-16 05:07:58
draw-0.1.1-r8.apk885.9 KiB2024-07-04 08:22:24
yazi-cli-0.3.0-r0.apk888.8 KiB2024-08-02 11:57:28
libretro-fuse-0_git20220417-r0.apk890.3 KiB2022-04-21 10:02:37
nixpacks-0.1.7-r1.apk891.4 KiB2023-05-24 14:04:14
rosenpass-0.2.2-r0.apk892.6 KiB2024-06-16 15:38:01
py3-mbedtls-2.10.1-r2.apk894.7 KiB2024-07-16 15:14:13
repowerd-2023.07-r2.apk895.7 KiB2024-06-22 10:57:53
greetd-regreet-0.1.1-r0.apk898.1 KiB2023-06-16 22:19:46
exabgp-pyc-4.2.21-r5.apk898.7 KiB2024-08-07 12:30:59
py3-onelogin-pyc-3.1.6-r1.apk899.9 KiB2024-04-15 21:03:24
lomiri-content-hub-doc-1.1.1-r1.apk900.4 KiB2024-06-22 10:57:53
fulcrum-1.9.8-r1.apk900.9 KiB2024-06-27 21:59:26
pari-doc-2.15.5-r0.apk905.0 KiB2024-07-22 21:12:50
kodi-pvr-iptvsimple-20.11.0-r0.apk909.1 KiB2023-09-05 18:42:28
laze-0.1.21-r0.apk911.7 KiB2024-02-13 22:22:29
ocaml-menhir-dev-20220210-r2.apk913.6 KiB2024-03-23 20:49:45
ocaml-lablgtk3-extras-3.0.1-r2.apk913.8 KiB2024-03-23 20:49:39
pulseview-0.4.2-r8.apk915.5 KiB2024-04-22 17:58:13
barrier-2.4.0-r1.apk917.2 KiB2022-08-04 21:45:48
ddnrs-0.3.0-r0.apk918.9 KiB2024-05-13 07:58:29
homebank-lang-5.8.1-r0.apk919.3 KiB2024-06-22 20:58:51
dovi-tool-2.0.3-r0.apk922.2 KiB2023-06-16 22:18:56
py3-litex-hub-pythondata-cpu-cv32e41p-2024.04-r0.apk934.1 KiB2024-07-05 20:32:57
asteroid-launcher-dbg-2.0.0-r0.apk934.2 KiB2023-08-31 09:41:35
gloox-dev-1.0.28-r0.apk935.9 KiB2023-11-24 00:24:59
py3-cvxpy-pyc-1.2.1-r5.apk936.1 KiB2024-08-06 12:45:51
ocaml-mirage-crypto-0.10.6-r3.apk938.8 KiB2024-03-23 20:49:45
jaq-1.6.0-r0.apk940.1 KiB2024-08-01 13:33:41
hikari-2.3.3-r6.apk941.4 KiB2024-02-02 21:16:18
swi-prolog-xpce-9.2.6-r0.apk942.2 KiB2024-07-28 18:52:48
mkdocs-windmill-1.0.5-r4.apk944.1 KiB2024-08-04 21:34:45
dotenv-linter-3.3.0-r1.apk944.4 KiB2023-05-24 14:03:58
ocaml-tsdl-1.0.0-r0.apk946.0 KiB2024-04-22 06:13:58
qsstv-9.5.8-r2.apk947.4 KiB2023-03-18 21:44:27
jwt-cli-6.1.0-r0.apk950.6 KiB2024-07-07 05:33:17
php81-dev-8.1.29-r0.apk950.9 KiB2024-06-06 20:04:14
kannel-dev-1.5.0-r11.apk952.0 KiB2023-04-30 21:30:23
duf-0.8.1-r21.apk952.8 KiB2024-07-04 08:22:24
corectrl-1.4.1-r0.apk956.0 KiB2024-07-13 17:50:01
wpaperd-0.3.0-r2.apk958.2 KiB2023-07-02 22:04:22
APKINDEX.tar.gz958.4 KiB2024-08-11 11:42:21
stardict-3.0.6-r6.apk961.3 KiB2023-04-30 21:31:06
php84-dom-8.4.0_alpha4-r0.apk961.7 KiB2024-08-01 12:09:14
ocaml-parsexp-dev-0.16.0-r0.apk964.4 KiB2024-03-23 20:49:50
xendmail-0.4.3-r0.apk964.6 KiB2024-03-26 11:53:08
grpc-health-check-0.1.1-r3.apk965.2 KiB2023-05-24 14:04:07
ocaml-ctypes-0.20.1-r2.apk966.1 KiB2024-03-23 20:49:27
hwatch-0.3.11-r0.apk971.0 KiB2024-03-18 00:13:30
postgresql-pg_partman-5.0.0-r0.apk971.2 KiB2023-12-17 22:58:19
xed-doc-3.4.5-r0.apk973.6 KiB2024-01-08 09:43:38
openjdk22-jre-22.0.1_p8-r2.apk975.2 KiB2024-04-26 00:12:54
freedoom-doc-0.13.0-r0.apk977.0 KiB2024-07-27 04:15:22
wroomd-0.1.0-r0.apk981.0 KiB2023-10-06 05:49:06
ol-2.4-r0.apk982.0 KiB2023-03-28 07:46:26
tere-1.5.1-r0.apk982.1 KiB2023-08-26 16:38:49
ocaml-re-dev-1.11.0-r1.apk985.6 KiB2024-03-23 20:49:56
lomiri-trust-store-2.0.2-r3.apk989.1 KiB2024-06-26 03:46:51
numbat-1.9.0-r0.apk990.0 KiB2024-02-06 03:37:04
lomiri-telephony-service-0.5.3-r1.apk990.5 KiB2024-06-22 10:57:53
gosu-1.17-r5.apk991.3 KiB2024-07-04 08:22:26
boinc-gui-7.24.3-r0.apk991.5 KiB2024-02-22 01:03:11
wlroots0.12-dbg-0.12.0-r1.apk992.8 KiB2022-09-02 18:54:59
kbs2-0.7.2-r3.apk993.1 KiB2023-07-29 22:01:12
milkytracker-1.04.00-r2.apk996.5 KiB2024-03-23 19:25:14
flann-dev-1.9.2-r0.apk1003.2 KiB2024-07-19 10:41:10
font-comic-neue-doc-2.51-r0.apk1004.1 KiB2021-02-18 18:21:23
please-0.5.5-r0.apk1005.4 KiB2024-07-02 03:25:19
prjtrellis-db-machxo2-0_git20230929-r0.apk1013.4 KiB2024-01-12 02:25:41
fox-1.6.57-r0.apk1015.1 KiB2022-08-08 10:58:14
tomcat9-doc-9.0.93-r0.apk1015.3 KiB2024-08-10 17:31:06
lomiri-system-settings-1.1.0-r1.apk1016.9 KiB2024-06-22 10:57:53
oh-my-zsh-0_git20220104-r1.apk1020.7 KiB2023-02-10 00:13:05
dune-deps-1.3.0-r2.apk1023.7 KiB2024-03-23 20:49:08
ocaml-lwd-dev-0.3-r0.apk1.0 MiB2024-03-23 20:49:42
up-0.4-r24.apk1.0 MiB2024-07-04 08:22:41
horust-0.1.7-r2.apk1.0 MiB2024-06-13 14:54:29
pfetch-rs-2.11.0-r0.apk1.0 MiB2024-08-03 14:31:18
qpdfview-0.5-r1.apk1.0 MiB2024-07-12 12:46:00
gamescope-3.14.23-r0.apk1.0 MiB2024-07-06 19:33:50
limnoria-20220927-r3.apk1.0 MiB2024-04-15 21:03:15
kodi-inputstream-adaptive-21.4.10-r0.apk1.0 MiB2024-07-25 08:30:21
xonsh-pyc-0.18.2-r0.apk1.0 MiB2024-07-22 21:12:51
komikku-1.53.0-r0.apk1.0 MiB2024-08-10 17:30:50
eclib-libs-20231212-r1.apk1.0 MiB2024-04-22 17:58:00
firewalld-2.1.2-r1.apk1.0 MiB2024-08-10 21:46:32
pest-language-server-0.3.9-r0.apk1.0 MiB2024-04-08 20:14:30
kismet-logtools-0.202307.1-r3.apk1.0 MiB2024-07-14 22:15:40
nzbget-21.1-r2.apk1.0 MiB2023-04-30 21:30:27
halp-0.2.0-r0.apk1.0 MiB2024-06-20 21:08:23
libretro-genesis-plus-gx-0_git20230503-r0.apk1.0 MiB2023-05-05 07:16:27
libntl-11.5.1-r3.apk1.0 MiB2023-08-01 15:19:31
rdedup-3.2.1-r4.apk1.0 MiB2023-10-22 04:19:23
wireguard-go-0.0.20230223-r7.apk1.0 MiB2024-07-04 08:22:42
ocaml-amqp-client-dev-2.3.0-r0.apk1.0 MiB2024-04-22 06:13:54
brial-1.2.11-r3.apk1.0 MiB2024-04-22 17:57:59
libcrypto1.1-1.1.1w-r0.apk1.0 MiB2023-09-12 11:26:28
cgit-pink-1.4.1-r0.apk1.0 MiB2024-06-27 05:58:10
sturmreader-3.7.2-r0.apk1.0 MiB2023-10-22 08:34:18
lazymc-0.2.11-r0.apk1.0 MiB2024-03-24 14:48:09
yaru-icon-theme-bark-23.10.0-r0.apk1.0 MiB2024-04-18 02:06:44
swi-prolog-xpce-doc-9.2.6-r0.apk1.0 MiB2024-07-28 18:52:48
quodlibet-4.6.0-r1.apk1.1 MiB2024-04-15 21:03:27
go-mtpfs-1.0.0-r22.apk1.1 MiB2024-07-04 08:22:26
qflipper-gui-1.3.3-r0.apk1.1 MiB2023-11-15 23:42:32
lizardfs-master-3.13.0-r13.apk1.1 MiB2024-04-22 17:58:05
php84-dev-8.4.0_alpha4-r0.apk1.1 MiB2024-08-01 12:09:14
ginac-1.8.7-r1.apk1.1 MiB2024-01-28 16:21:59
ocaml-ounit-dev-2.2.7-r3.apk1.1 MiB2024-03-23 20:49:49
yaru-icon-theme-olive-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:45
turn-rs-2.1.3-r0.apk1.1 MiB2024-04-18 14:02:58
newlib-esp-xtensa-none-elf-0_git20240109-r0.apk1.1 MiB2024-06-19 15:51:14
yaru-icon-theme-magenta-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:44
cluster-glue-dev-1.0.12-r5.apk1.1 MiB2023-04-30 21:30:11
keydb-6.3.4-r0.apk1.1 MiB2024-05-25 20:53:52
wget2-dev-2.1.0-r0.apk1.1 MiB2023-09-04 10:04:05
prjtrellis-db-machxo3-0_git20230929-r0.apk1.1 MiB2024-01-12 02:25:41
py3-aiosmb-pyc-0.4.10-r1.apk1.1 MiB2024-04-15 21:03:17
gearman-dev-1.1.21-r1.apk1.1 MiB2024-04-22 17:58:03
libmysofa-tools-1.3.2-r0.apk1.1 MiB2023-11-06 17:37:29
yaru-icon-theme-viridian-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:45
tartube-pyc-2.5.0-r1.apk1.1 MiB2024-08-07 13:52:05
fava-1.28-r0.apk1.1 MiB2024-07-22 21:12:49
yaru-icon-theme-prussiangreen-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:45
watchbind-0.2.1-r0.apk1.1 MiB2024-01-22 11:30:04
megazeux-2.93-r0.apk1.1 MiB2024-01-19 01:35:39
ocaml-yojson-2.1.2-r0.apk1.1 MiB2024-03-23 20:50:12
cutechess-1.3.1-r0.apk1.1 MiB2023-09-24 18:36:50
lsd-1.1.2-r0.apk1.1 MiB2024-07-27 06:35:01
xed-3.4.5-r0.apk1.1 MiB2024-01-08 09:43:38
ouch-0.5.1-r0.apk1.1 MiB2023-12-24 17:13:15
fxfloorboard-katana-mk2-doc-20240515-r1.apk1.1 MiB2024-07-06 05:09:47
yaru-icon-theme-blue-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:44
yaru-icon-theme-sage-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:45
dewduct-0.2.3-r0.apk1.1 MiB2024-06-14 20:03:27
yaru-icon-theme-purple-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:45
py3-litex-hub-modules-pyc-2024.04-r0.apk1.1 MiB2024-07-05 20:32:57
filite-0.3.0-r2.apk1.1 MiB2023-05-24 14:03:59
yaru-icon-theme-red-23.10.0-r0.apk1.1 MiB2024-04-18 02:06:45
libigraph-0.10.13-r0.apk1.1 MiB2024-06-28 10:32:43
tailspin-3.0.0-r0.apk1.1 MiB2024-02-03 22:34:46
speedcrunch-0.12-r3.apk1.1 MiB2023-02-16 06:37:54
rime-ls-0.3.0-r1.apk1.1 MiB2024-06-26 04:20:18
cargo-machete-0.6.2-r0.apk1.1 MiB2024-03-24 14:48:09
mypaint-pyc-2.0.1-r1.apk1.1 MiB2024-07-14 15:36:23
makeclapman-2.4.1-r3.apk1.1 MiB2024-07-04 08:22:33
circuslinux-data-1.0.3-r1.apk1.1 MiB2021-12-05 01:04:24
ocaml-markup-1.0.3-r3.apk1.1 MiB2024-03-23 20:49:44
ocaml-zed-dev-3.1.0-r3.apk1.1 MiB2024-03-23 20:50:12
flawz-0.2.2-r0.apk1.1 MiB2024-06-22 21:05:44
poke-4.2-r0.apk1.1 MiB2024-07-02 02:42:04
walk-1.7.0-r2.apk1.1 MiB2024-07-04 08:22:42
ocfs2-tools-1.8.7-r3.apk1.1 MiB2024-07-12 02:41:37
ecasound-dev-2.9.3-r3.apk1.2 MiB2023-09-25 19:24:30
ocaml-ppx_sexp_conv-dev-0.16.0-r0.apk1.2 MiB2024-03-23 20:49:51
limnoria-pyc-20220927-r3.apk1.2 MiB2024-04-15 21:03:15
orage-lang-4.18.0-r0.apk1.2 MiB2023-02-23 23:04:42
py3-sphinx-theme-bootstrap-0.8.1-r4.apk1.2 MiB2024-08-06 12:46:19
repgrep-0.15.0-r0.apk1.2 MiB2024-01-04 19:38:39
ktx-4.3.2-r0.apk1.2 MiB2024-07-22 21:12:50
anki-pyc-24.06.2-r0.apk1.2 MiB2024-06-24 13:34:27
py3-nikola-8.3.1-r0.apk1.2 MiB2024-06-25 03:58:47
elementary-photos-2.8.0-r1.apk1.2 MiB2023-04-30 21:30:21
electron-tasje-0.7.3-r0.apk1.2 MiB2024-05-23 02:26:23
sqlmap-pyc-1.8.7-r0.apk1.2 MiB2024-07-15 08:48:41
quodlibet-lang-4.6.0-r1.apk1.2 MiB2024-04-15 21:03:27
listenbrainz-mpd-2.3.7-r0.apk1.2 MiB2024-06-05 17:57:46
cln-dev-1.3.7-r0.apk1.2 MiB2024-01-28 16:21:56
draco-tools-1.5.7-r1.apk1.2 MiB2024-08-03 16:04:44
zls-0.13.0-r0.apk1.2 MiB2024-06-15 06:20:53
pulsar-client-cpp-3.1.2-r4.apk1.2 MiB2024-04-22 17:58:13
mypaint-lang-2.0.1-r1.apk1.2 MiB2024-07-14 15:36:23
py3-onnxruntime-pyc-1.18.1-r1.apk1.2 MiB2024-07-14 22:15:40
hctl-0.2.6-r0.apk1.2 MiB2024-03-04 22:30:45
prjtrellis-1.4-r2.apk1.2 MiB2024-04-22 17:58:12
frescobaldi-pyc-3.3.0-r1.apk1.2 MiB2024-04-15 21:03:10
wlroots0.15-dbg-0.15.1-r6.apk1.2 MiB2023-07-03 16:36:04
compiz-lang-0.9.14.2-r6.apk1.2 MiB2024-07-14 22:15:39
emulationstation-2.11.2-r1.apk1.2 MiB2024-05-21 16:14:14
trafficserver9-utils-9.2.4-r0.apk1.2 MiB2024-04-05 13:43:12
dstask-0.26-r9.apk1.2 MiB2024-07-04 08:22:24
libmanticore-columnar-2.2.4-r0.apk1.2 MiB2024-04-27 14:31:30
beancount-language-server-1.3.4-r0.apk1.2 MiB2024-02-05 23:53:45
pegasus-frontend-16_alpha-r0.apk1.2 MiB2024-06-23 05:04:07
gst-plugins-rs-tools-0.13.0-r0.apk1.2 MiB2024-07-24 04:26:20
ocaml-uucp-dev-14.0.0-r2.apk1.2 MiB2024-03-23 20:50:10
noggin-0.1-r11.apk1.2 MiB2024-07-15 14:09:07
fpc-doc-3.2.2-r4.apk1.2 MiB2024-06-20 01:12:38
radio-cli-2.3.1-r0.apk1.2 MiB2024-01-25 20:23:49
octoprint-pyc-1.10.1-r0.apk1.2 MiB2024-05-16 23:59:19
yaru-icon-theme-mate-23.10.0-r0.apk1.2 MiB2024-04-18 02:06:44
htslib-tools-1.19-r0.apk1.2 MiB2023-12-12 17:44:29
librespot-0.4.2-r4.apk1.2 MiB2023-08-01 04:55:08
baikal-0.9.5-r0.apk1.3 MiB2024-03-20 21:45:46
ocaml-lwt-5.7.0-r0.apk1.3 MiB2024-03-23 20:49:42
youtube-tui-0.8.0-r0.apk1.3 MiB2023-10-26 13:55:00
ndpi-4.10-r0.apk1.3 MiB2024-08-06 13:21:07
swig3-3.0.12-r3.apk1.3 MiB2024-04-22 17:58:15
legume-1.4.2-r4.apk1.3 MiB2024-07-04 08:22:32
gimp-plugin-gmic-3.3.5-r0.apk1.3 MiB2024-04-26 13:52:27
font-raleway-otf-4.101-r1.apk1.3 MiB2021-11-22 14:08:49
libppl_c-1.2-r1.apk1.3 MiB2023-05-15 16:46:12
libabigail-dev-2.3-r0.apk1.3 MiB2023-05-03 10:33:10
py3-pyglm-2.7.1-r0.apk1.3 MiB2023-10-31 11:12:57
elementary-photos-lang-2.8.0-r1.apk1.3 MiB2023-04-30 21:30:21
kgraphviewer-2.5.0-r0.apk1.3 MiB2024-05-08 17:44:11
ckb-next-0.6.0-r1.apk1.3 MiB2023-07-19 19:10:52
mailtutan-0.3.0-r0.apk1.3 MiB2023-10-02 09:14:40
ijq-1.1.0-r3.apk1.3 MiB2024-07-04 08:22:27
ktx-libs-4.3.2-r0.apk1.3 MiB2024-07-22 21:12:50
goxel-0.14.0-r0.apk1.3 MiB2024-06-20 12:56:32
mapserver-8.0.1-r4.apk1.3 MiB2024-05-18 23:28:47
ocaml-extlib-dev-1.7.9-r2.apk1.3 MiB2024-03-23 20:49:33
firecracker-1.4.1-r0.apk1.3 MiB2023-10-03 19:10:43
singular-doc-4.3.2-r2.apk1.3 MiB2023-08-01 15:19:54
ocaml-cohttp-dev-5.3.1-r0.apk1.3 MiB2024-04-22 06:13:54
primesieve-dev-12.3-r0.apk1.3 MiB2024-05-01 17:11:16
ocaml-tcpip-7.1.2-r3.apk1.3 MiB2024-03-23 20:50:05
ocaml-tls-0.15.3-r4.apk1.3 MiB2024-03-23 20:50:06
ocaml-ppx_deriving-dev-5.3.0-r0.apk1.3 MiB2024-03-23 20:49:51
lomiri-ui-toolkit-1.3.5100-r1.apk1.3 MiB2024-06-22 10:57:53
dcmtk-3.6.8-r0.apk1.3 MiB2024-01-13 22:53:01
gnu-apl-1.9-r0.apk1.3 MiB2024-07-04 08:40:59
gpsbabel-1.8.0-r5.apk1.3 MiB2023-12-31 16:23:28
kodi-game-libretro-mame2003-0.78.0.54-r0.apk1.3 MiB2023-07-02 22:03:17
xfe-1.46.2-r0.apk1.3 MiB2024-06-13 03:48:08
rathole-0.5.0-r0.apk1.3 MiB2023-10-05 20:27:23
genact-1.4.2-r0.apk1.3 MiB2024-02-20 21:50:31
sydbox-3.21.3-r0.apk1.3 MiB2024-06-12 11:18:32
lowjs-1.6.2-r2.apk1.3 MiB2024-04-15 07:15:34
gtksourceviewmm3-doc-3.21.3-r2.apk1.3 MiB2023-04-14 14:16:28
sblg-doc-0.5.11-r0.apk1.3 MiB2023-02-06 19:33:54
cargo-update-13.4.0-r0.apk1.3 MiB2024-05-04 13:41:58
xcaddy-0.4.2-r2.apk1.3 MiB2024-07-04 08:22:43
ocaml-qcheck-dev-0.18.1-r3.apk1.4 MiB2024-03-23 20:49:56
yamlfmt-0.12.1-r1.apk1.4 MiB2024-07-04 08:22:43
mage-1.13.0-r18.apk1.4 MiB2024-07-04 08:22:33
drogon-1.9.4-r0.apk1.4 MiB2024-05-05 21:25:24
fcitx5-table-other-5.1.3-r0.apk1.4 MiB2024-06-26 04:20:17
qgis-grass-3.34.0-r0.apk1.4 MiB2024-08-03 16:28:01
asymptote-2.90-r0.apk1.4 MiB2024-06-26 15:00:08
ocaml-uunf-14.0.0-r2.apk1.4 MiB2024-03-23 20:50:10
gnucash-doc-5.8-r0.apk1.4 MiB2024-07-22 21:12:50
ocaml-camomile-1.0.2-r3.apk1.4 MiB2024-03-23 20:49:22
dart-sass-1.77.4-r0.apk1.4 MiB2024-05-31 11:20:34
ocaml-erm_xmpp-0_git20220404-r2.apk1.4 MiB2024-03-23 20:49:32
lout-3.42.2-r0.apk1.4 MiB2023-06-16 22:20:17
hfst-3.16.0-r2.apk1.4 MiB2024-04-15 21:03:11
dartaotruntime-3.3.2-r0.apk1.4 MiB2024-03-21 23:13:05
freetube-0.21.3-r0.apk1.4 MiB2024-08-09 18:53:29
eboard-1.1.3-r1.apk1.4 MiB2023-07-29 22:00:15
wl-gammarelay-0.1.1-r9.apk1.4 MiB2024-07-04 08:22:42
gtksourceviewmm4-doc-3.91.1-r2.apk1.4 MiB2023-04-14 14:16:28
nwg-bar-0.1.6-r5.apk1.4 MiB2024-07-04 08:22:35
piping-server-0.18.0-r0.apk1.4 MiB2024-05-05 10:19:14
spike-1.1.0-r0.apk1.4 MiB2023-03-28 17:01:26
ocaml-obuild-0.1.11-r0.apk1.4 MiB2024-03-23 20:49:46
mesa-asahi-xatracker-24.0.0_pre20240727-r0.apk1.4 MiB2024-07-30 19:04:55
mame-lang-0.251-r0.apk1.4 MiB2023-02-22 11:49:38
lizardfs-client-3.13.0-r13.apk1.4 MiB2024-04-22 17:58:05
pomo-0.8.1-r18.apk1.4 MiB2024-07-04 08:22:36
keystone-0.9.2-r6.apk1.4 MiB2024-04-15 21:03:12
mailutils-mh-3.17-r0.apk1.4 MiB2024-01-19 18:21:27
libretro-beetle-saturn-0_git20220417-r0.apk1.4 MiB2022-04-21 10:02:36
strfry-0.9.6-r0.apk1.4 MiB2024-01-25 17:00:59
lomiri-download-manager-doc-0.1.3-r3.apk1.4 MiB2024-06-26 03:46:51
youki-0.3.1-r1.apk1.5 MiB2024-06-03 18:44:03
raspberrypi-usbboot-20210701-r2.apk1.5 MiB2023-02-03 14:48:37
libsemigroups-static-2.7.3-r0.apk1.5 MiB2024-01-22 06:22:05
py3-pysequoia-0.1.20-r3.apk1.5 MiB2024-06-13 13:28:00
oil-0.21.0-r0.apk1.5 MiB2024-03-15 23:09:36
woodpecker-doc-2.7.0-r0.apk1.5 MiB2024-07-24 04:26:20
protoc-gen-js-3.21.4-r1.apk1.5 MiB2024-07-25 21:16:55
draco-static-1.5.7-r1.apk1.5 MiB2024-08-03 16:04:44
mkcert-1.4.4-r14.apk1.5 MiB2024-07-04 08:22:35
chamo-byte-4.0-r0.apk1.5 MiB2024-04-22 06:13:54
py3-tokenizers-0.19.1-r0.apk1.5 MiB2024-06-18 14:37:20
nextpnr-gowin-0.7-r0.apk1.5 MiB2024-06-27 02:55:45
nicotine-plus-3.3.4-r0.apk1.5 MiB2024-07-22 21:12:50
mpv-sponsorblock-2.1.0-r0.apk1.5 MiB2024-03-04 23:55:58
libarb-2.23.0-r2.apk1.5 MiB2023-08-01 15:19:29
drawpile-server-2.2.1-r1.apk1.5 MiB2024-05-29 17:41:15
eww-0.4.0-r1.apk1.5 MiB2023-05-24 14:03:58
wiki-tui-0.8.2-r0.apk1.5 MiB2023-08-12 05:59:34
ocaml-labltk-dev-8.06.12-r2.apk1.5 MiB2024-03-23 20:49:40
mitmproxy-10.2.0-r0.apk1.5 MiB2024-04-15 21:03:16
ocp-indent-1.8.2-r2.apk1.5 MiB2024-03-23 20:50:17
gfan-0.6.2-r1.apk1.5 MiB2023-08-01 15:19:24
cloud-hypervisor-40.0-r0.apk1.5 MiB2024-07-07 05:33:17
freshrss-themes-1.23.1-r1.apk1.5 MiB2024-03-18 06:42:16
perl-minion-10.30-r0.apk1.5 MiB2024-06-05 17:55:08
rustscan-2.2.3-r0.apk1.5 MiB2024-05-13 07:58:29
nwg-dock-0.3.9-r6.apk1.5 MiB2024-07-04 08:22:35
warzone2100-doc-4.5.1-r0.apk1.5 MiB2024-07-28 10:31:46
xsane-0.999-r1.apk1.5 MiB2022-12-16 07:48:07
freshrss-1.23.1-r1.apk1.5 MiB2024-03-18 06:42:15
ffsend-0.2.76-r4.apk1.5 MiB2023-07-02 22:01:57
boinc-7.24.3-r0.apk1.5 MiB2024-02-22 01:03:11
fheroes2-1.1.1-r0.apk1.5 MiB2024-07-14 22:27:08
py3-pyglet-pyc-2.0.16-r0.apk1.5 MiB2024-07-19 16:25:20
ocaml-uri-4.2.0-r2.apk1.5 MiB2024-03-23 20:50:07
so-0.4.9-r1.apk1.5 MiB2023-05-24 14:04:24
ocaml-lablgtk3-extras-dev-3.0.1-r2.apk1.5 MiB2024-03-23 20:49:39
pcl-dev-1.14.0-r1.apk1.5 MiB2024-04-22 17:58:11
kjv-0_git20221103-r0.apk1.5 MiB2023-09-25 04:50:35
openocd-riscv-0_git20230104-r1.apk1.5 MiB2023-05-03 11:10:11
siril-lang-1.2.3-r1.apk1.5 MiB2024-07-15 14:09:07
seastar-22.11.0_git20240711-r0.apk1.5 MiB2024-07-17 21:57:04
ocaml-sedlex-dev-3.2-r0.apk1.6 MiB2024-03-23 20:50:05
ocaml-x509-dev-0.16.0-r2.apk1.6 MiB2024-03-23 20:50:11
gnu-apl-doc-1.9-r0.apk1.6 MiB2024-07-04 08:40:59
mint-y-theme-gtk4-2.1.1-r0.apk1.6 MiB2023-06-16 22:20:27
xmpp-dns-0.2.4-r19.apk1.6 MiB2024-07-04 08:22:43
voikko-fi-2.5-r0.apk1.6 MiB2023-06-16 22:22:13
igrep-1.2.0-r0.apk1.6 MiB2023-08-09 15:40:06
font-raleway-ttf-4.101-r1.apk1.6 MiB2021-11-22 14:08:49
amule-lang-2.3.3-r13.apk1.6 MiB2024-04-22 17:57:59
armagetronad-0.2.9.1.1-r0.apk1.6 MiB2024-02-12 23:10:06
zydis-doc-4.1.0-r0.apk1.6 MiB2024-04-05 22:35:43
lutgen-0.10.1-r0.apk1.6 MiB2024-06-01 13:18:01
antibody-6.1.1-r23.apk1.6 MiB2024-07-04 08:22:19
antimicrox-3.4.0-r0.apk1.6 MiB2024-06-08 21:22:27
runst-0.1.7-r0.apk1.6 MiB2024-03-27 01:09:25
scap-workbench-doc-1.2.1-r2.apk1.6 MiB2023-02-13 14:23:40
aqemu-0.9.4-r3.apk1.6 MiB2023-04-08 10:52:52
fheroes2-lang-1.1.1-r0.apk1.6 MiB2024-07-14 22:27:08
gmic-qt-3.3.5-r0.apk1.6 MiB2024-04-26 13:52:27
yubikey-agent-0.1.6-r7.apk1.6 MiB2024-07-04 08:22:43
py3-falcon-3.1.3-r0.apk1.6 MiB2024-05-27 02:18:28
libntl-static-11.5.1-r3.apk1.6 MiB2023-08-01 15:19:31
chim-1.1.2-r1.apk1.6 MiB2023-05-24 14:03:55
seed7-doc-05.20240322-r0.apk1.6 MiB2024-03-24 13:17:02
apmpkg-1.5.1-r3.apk1.6 MiB2023-07-02 22:00:42
keystone-python-0.9.2-r6.apk1.6 MiB2024-04-15 21:03:12
qgis-server-3.34.0-r0.apk1.6 MiB2024-08-03 16:28:01
libretro-flycast-0_git20220406-r1.apk1.6 MiB2024-06-13 20:52:58
flann-1.9.2-r0.apk1.6 MiB2024-07-19 10:41:10
empede-0.2.3-r0.apk1.6 MiB2024-01-07 01:48:20
hfst-libs-3.16.0-r2.apk1.6 MiB2024-04-15 21:03:11
watershot-0.2.0-r0.apk1.6 MiB2023-07-03 22:52:53
fcitx5-chinese-addons-5.1.6-r1.apk1.6 MiB2024-08-02 14:30:48
dcmtk-dev-3.6.8-r0.apk1.6 MiB2024-01-13 22:53:01
nil-2023.08.09-r0.apk1.7 MiB2024-04-18 13:46:37
asahi-audio-2.2-r0.apk1.7 MiB2024-06-23 07:17:13
openocd-git-0_git20240113-r0.apk1.7 MiB2024-01-17 08:37:53
ocaml-erm_xmpp-dev-0_git20220404-r2.apk1.7 MiB2024-03-23 20:49:32
rook-0.1.3-r1.apk1.7 MiB2024-07-04 08:22:37
ocaml-yojson-dev-2.1.2-r0.apk1.7 MiB2024-03-23 20:50:12
noggin-model-lightweight-0.1-r0.apk1.7 MiB2023-06-16 22:20:38
brial-dev-1.2.11-r3.apk1.7 MiB2024-04-22 17:57:59
protoc-gen-go-1.34.2-r0.apk1.7 MiB2024-07-07 05:39:18
pure-data-0.54.1-r0.apk1.7 MiB2023-11-12 17:45:10
mir-2.15.0-r3.apk1.7 MiB2024-06-26 15:03:24
fox-dev-1.6.57-r0.apk1.7 MiB2022-08-08 10:58:14
materia-kde-plasma-20220823-r0.apk1.7 MiB2023-03-19 22:40:15
psi-plus-plugins-1.5.1965-r0.apk1.7 MiB2024-06-13 03:35:29
fplll-strategies-5.4.5-r0.apk1.7 MiB2023-10-22 08:04:56
simgear-2020.3.19-r1.apk1.7 MiB2024-04-22 17:58:15
chocolate-doom-3.1.0-r0.apk1.7 MiB2024-08-03 04:13:19
glslviewer-3.2.4-r0.apk1.7 MiB2023-11-13 21:08:49
asteroid-launcher-2.0.0-r0.apk1.7 MiB2023-08-31 09:41:34
mdbook-mermaid-0.13.0-r0.apk1.7 MiB2024-06-08 22:35:18
daktilo-0.6.0-r0.apk1.7 MiB2024-04-11 10:47:55
libmedc-python-4.1.1-r4.apk1.7 MiB2024-06-18 14:37:19
php81-embed-8.1.29-r0.apk1.8 MiB2024-06-06 20:04:14
sydbox-oci-3.21.3-r0.apk1.8 MiB2024-06-12 11:18:32
php81-apache2-8.1.29-r0.apk1.8 MiB2024-06-06 20:04:14
openocd-esp32-0_git20230921-r4.apk1.8 MiB2023-12-06 06:54:49
php81-cgi-8.1.29-r0.apk1.8 MiB2024-06-06 20:04:14
percona-toolkit-3.5.4-r0.apk1.8 MiB2023-08-13 16:27:37
trippy-0.10.0-r0.apk1.8 MiB2024-04-05 22:45:36
php81-litespeed-8.1.29-r0.apk1.8 MiB2024-06-06 20:04:14
grcov-0.8.19-r1.apk1.8 MiB2023-09-11 14:51:08
spotify-tui-0.25.0-r2.apk1.8 MiB2023-05-24 14:04:24
eludris-0.3.3-r1.apk1.8 MiB2023-06-16 22:19:13
php81-8.1.29-r0.apk1.8 MiB2024-06-06 20:04:13
c2rust-0.18.0-r1.apk1.8 MiB2024-01-19 18:50:04
py3-litex-hub-pythondata-cpu-ibex-2024.04-r0.apk1.8 MiB2024-07-05 20:32:57
ergo-ldap-0.0.1-r12.apk1.8 MiB2024-07-04 08:22:24
srb2-2.2.13-r0.apk1.8 MiB2023-11-22 16:24:23
quodlibet-pyc-4.6.0-r1.apk1.8 MiB2024-04-15 21:03:27
satellite-1.0.0-r23.apk1.8 MiB2024-07-04 08:22:37
mint-y-theme-gtk3-2.1.1-r0.apk1.8 MiB2023-06-16 22:20:27
ocaml-uuseg-tools-14.0.0-r2.apk1.8 MiB2024-03-23 20:50:11
php81-phpdbg-8.1.29-r0.apk1.8 MiB2024-06-06 20:04:14
php81-fpm-8.1.29-r0.apk1.8 MiB2024-06-06 20:04:14
codeberg-cli-0.4.3-r0.apk1.8 MiB2024-07-29 10:19:25
stgit-2.4.7-r1.apk1.8 MiB2024-06-07 22:45:46
tui-journal-0.8.0-r0.apk1.8 MiB2024-02-10 04:41:37
tintin-2.02.31-r0.apk1.8 MiB2023-08-26 11:00:36
homebank-5.8.1-r0.apk1.8 MiB2024-06-22 20:58:51
crispy-doom-6.0-r0.apk1.9 MiB2023-03-31 22:55:21
gobang-0.1.0_alpha5-r1.apk1.9 MiB2023-05-24 14:04:07
ocaml-iri-1.0.0-r0.apk1.9 MiB2024-04-22 06:13:56
edward-1.0.1-r2.apk1.9 MiB2024-07-25 14:14:36
ocaml-ca-certs-nss-tools-3.89.1-r1.apk1.9 MiB2024-03-23 20:49:22
gutenprint-lang-5.3.4-r4.apk1.9 MiB2024-07-23 19:22:35
efl-dev-1.27.0-r1.apk1.9 MiB2024-04-11 23:37:35
pure-data-doc-0.54.1-r0.apk1.9 MiB2023-11-12 17:45:10
hitide-0.15.0-r0.apk1.9 MiB2024-03-30 06:39:00
sc-controller-0.4.8.13-r1.apk1.9 MiB2024-06-18 14:37:20
cadence-0.9.2-r0.apk1.9 MiB2022-10-09 15:22:25
php84-embed-8.4.0_alpha4-r0.apk1.9 MiB2024-08-01 12:09:14
py3-pyinstaller-6.6.0-r0.apk1.9 MiB2024-05-13 17:37:21
php84-apache2-8.4.0_alpha4-r0.apk1.9 MiB2024-08-01 12:09:13
php83-pecl-phalcon-5.8.0-r0.apk1.9 MiB2024-07-11 00:03:24
php82-pecl-phalcon-5.8.0-r0.apk1.9 MiB2024-07-11 00:03:24
vidcutter-pyc-6.0.5.2-r0.apk1.9 MiB2024-06-11 06:08:41
php84-cgi-8.4.0_alpha4-r0.apk1.9 MiB2024-08-01 12:09:13
authenticator-rs-0.7.5-r0.apk1.9 MiB2023-05-29 21:09:11
qlcplus-libs-4.13.1-r0.apk1.9 MiB2024-06-27 22:02:55
ocaml-atd-dev-2.15.0-r0.apk1.9 MiB2024-04-22 06:13:54
php84-litespeed-8.4.0_alpha4-r0.apk1.9 MiB2024-08-01 12:09:14
py3-litex-hub-pythondata-cpu-cv32e40p-2024.04-r0.apk1.9 MiB2024-07-05 20:32:57
tachyon-scenes-0.99_beta6-r1.apk1.9 MiB2024-03-30 06:39:01
certstrap-1.3.0-r18.apk1.9 MiB2024-07-04 08:22:21
calibre-doc-7.16.0-r0.apk1.9 MiB2024-07-31 16:58:49
qml-asteroid-dbg-2.0.0-r0.apk1.9 MiB2023-08-31 09:41:36
php84-8.4.0_alpha4-r0.apk1.9 MiB2024-08-01 12:09:13
decoder-0.5.1-r0.apk1.9 MiB2024-06-18 14:37:08
gmsh-doc-4.12.2-r2.apk1.9 MiB2024-06-18 14:37:17
goreman-0.3.15-r8.apk1.9 MiB2024-07-04 08:22:26
openfpgaloader-0.11.0-r0.apk1.9 MiB2023-10-21 08:35:35
cargo-generate-0.20.0-r0.apk2.0 MiB2024-04-01 19:39:46
bootloose-0.7.1-r6.apk2.0 MiB2024-07-04 08:22:20
teapot-tools-0.4.2-r2.apk2.0 MiB2024-04-15 14:24:46
php84-phpdbg-8.4.0_alpha4-r0.apk2.0 MiB2024-08-01 12:09:14
php84-fpm-8.4.0_alpha4-r0.apk2.0 MiB2024-08-01 12:09:14
font-monaspace-krypton-1.000-r0.apk2.0 MiB2023-11-12 12:20:55
jackdaw-0.3.1-r1.apk2.0 MiB2024-04-15 21:03:12
ntpd-rs-1.2.3-r0.apk2.0 MiB2024-07-23 09:24:59
hyprland-0.41.2-r1.apk2.0 MiB2024-06-29 19:45:21
solarus-engine-1.7.0-r0.apk2.0 MiB2023-11-22 16:24:22
hullcaster-0.1.0_git20240708-r0.apk2.0 MiB2024-07-12 21:43:02
fox-doc-1.6.57-r0.apk2.0 MiB2022-08-08 10:58:15
libarb-static-2.23.0-r2.apk2.0 MiB2023-08-01 15:19:29
kakoune-lsp-17.1.1-r0.apk2.0 MiB2024-07-04 19:04:08
avahi2dns-0.0.1_git20240102-r2.apk2.0 MiB2024-07-04 08:22:20
prettier-3.2.5-r0.apk2.0 MiB2024-02-05 23:57:26
embree3-3.13.5-r2.apk2.0 MiB2023-07-02 22:01:48
font-stix-otf-2.13-r0.apk2.0 MiB2024-02-23 02:13:37
xed-lang-3.4.5-r0.apk2.0 MiB2024-01-08 09:43:39
ircdog-0.5.4-r0.apk2.0 MiB2024-07-17 23:50:25
secsipidx-libs-1.3.2-r7.apk2.0 MiB2024-07-04 08:22:38
wazero-1.6.0-r5.apk2.1 MiB2024-07-04 08:22:42
font-monaspace-neon-1.000-r0.apk2.1 MiB2023-11-12 12:20:55
android-apkeep-0.16.0-r0.apk2.1 MiB2024-04-05 13:00:26
convert2json-1.0.0-r0.apk2.1 MiB2024-08-03 13:59:14
py3-pivy-0.6.9_alpha0-r1.apk2.1 MiB2024-06-18 14:37:20
cocogitto-6.1.0-r0.apk2.1 MiB2024-03-15 12:14:52
rizin-cutter-2.3.2-r2.apk2.1 MiB2024-04-16 16:48:01
swi-prolog-doc-9.2.6-r0.apk2.1 MiB2024-07-28 18:52:48
reaction-1.4.1-r1.apk2.1 MiB2024-07-04 08:22:37
lomiri-location-service-3.1.0-r3.apk2.1 MiB2024-06-26 03:46:51
netsurf-3.11-r0.apk2.1 MiB2024-02-03 13:33:56
mesa-asahi-vulkan-swrast-24.0.0_pre20240727-r0.apk2.1 MiB2024-07-30 19:04:55
rss-email-0.5.0-r0.apk2.1 MiB2024-04-26 13:58:53
normaliz-libs-3.10.2-r1.apk2.1 MiB2024-04-22 17:58:11
docker-volume-local-persist-1.3.0-r28.apk2.1 MiB2024-07-04 08:22:23
font-monaspace-argon-1.000-r0.apk2.1 MiB2023-11-12 12:20:54
prjtrellis-db-ecp5-0_git20230929-r0.apk2.1 MiB2024-01-12 02:25:40
gotestsum-1.12.0-r2.apk2.1 MiB2024-07-04 08:22:26
ocaml-markup-dev-1.0.3-r3.apk2.1 MiB2024-03-23 20:49:44
flutter-developer-3.19.4-r0.apk2.1 MiB2024-03-21 23:13:18
gron-0.7.1-r19.apk2.1 MiB2024-07-04 08:22:26
hashcat-doc-6.2.6-r0.apk2.1 MiB2022-09-04 23:49:43
vile-9.8z-r0.apk2.2 MiB2024-01-26 02:25:45
hpnssh-18.4.1-r0.apk2.2 MiB2024-05-07 19:49:07
icingaweb2-module-businessprocess-doc-2.4.0-r0.apk2.2 MiB2022-08-15 16:45:20
ocaml-omake-0.10.6-r0.apk2.2 MiB2024-03-23 20:49:48
yices2-2.6.4-r0.apk2.2 MiB2023-02-10 06:28:11
aports-glmr-0.2-r23.apk2.2 MiB2024-07-04 08:22:19
aprilsh-server-0.7.12-r0.apk2.2 MiB2024-07-23 09:12:19
vice-doc-3.8-r0.apk2.2 MiB2024-01-12 00:43:03
nicotine-plus-pyc-3.3.4-r0.apk2.2 MiB2024-07-22 21:12:50
pitivi-2023.03-r1.apk2.2 MiB2024-04-16 15:49:05
watchmate-0.5.2-r0.apk2.2 MiB2024-06-16 21:33:35
steamguard-cli-0.9.6-r0.apk2.2 MiB2023-07-02 22:04:07
tty-proxy-0.0.2-r23.apk2.2 MiB2024-07-04 08:22:41
py3-litex-hub-pythondata-software-compiler_rt-2024.04-r0.apk2.2 MiB2024-07-05 20:32:58
musikcube-3.0.4-r0.apk2.2 MiB2024-07-17 06:25:13
bitritter-0.1.1-r0.apk2.2 MiB2024-05-30 04:31:45
tangctl-0_git20220412-r18.apk2.2 MiB2024-07-04 08:22:39
libwasmtime-22.0.0-r1.apk2.2 MiB2024-07-07 22:17:52
mailsec-check-0_git20210729-r21.apk2.2 MiB2024-07-04 08:22:33
rage-0.9.2-r1.apk2.2 MiB2023-07-02 22:03:57
brltty-6.6-r1.apk2.2 MiB2023-11-12 12:19:30
guetzli-dev-0_git20191025-r1.apk2.2 MiB2022-10-28 15:20:42
monetdb-11.33.11-r4.apk2.3 MiB2023-04-30 21:30:27
font-monaspace-xenon-1.000-r0.apk2.3 MiB2023-11-12 12:20:55
tmpl-0.4.0-r6.apk2.3 MiB2024-07-04 08:22:40
kind-0.22.0-r5.apk2.3 MiB2024-07-04 08:22:29
k3sup-0.13.6-r0.apk2.3 MiB2024-07-16 02:31:31
jami-qt-lang-20230925-r0.apk2.3 MiB2023-10-14 23:22:28
twinkle-1.10.3-r2.apk2.3 MiB2023-04-30 21:31:21
secsipidx-1.3.2-r7.apk2.3 MiB2024-07-04 08:22:38
wayfire-0.8.1-r1.apk2.3 MiB2024-07-13 16:16:50
rcon-cli-1.6.2-r6.apk2.3 MiB2024-07-04 08:22:37
qownnotes-23.6.6-r0.apk2.3 MiB2023-07-02 22:03:55
drawpile-base-2.2.1-r1.apk2.3 MiB2024-05-29 17:41:15
sos-0.8-r26.apk2.3 MiB2024-07-04 08:22:38
htmldoc-1.9.18-r0.apk2.3 MiB2024-02-12 10:47:49
sipexer-1.1.0-r8.apk2.3 MiB2024-07-04 08:22:38
ocaml-menhir-20220210-r2.apk2.3 MiB2024-03-23 20:49:44
rustdesk-server-1.1.10.3-r0.apk2.4 MiB2024-02-11 23:04:14
libmdbx-dbg-0.11.8-r0.apk2.4 MiB2022-07-02 04:10:35
mesa-asahi-24.0.0_pre20240727-r0.apk2.4 MiB2024-07-30 19:04:55
ocaml-tls-dev-0.15.3-r4.apk2.4 MiB2024-03-23 20:50:06
libretro-ppsspp-0_git20210516-r13.apk2.4 MiB2024-06-23 06:49:58
ocaml-dns-6.2.2-r3.apk2.4 MiB2024-03-23 20:49:28
py3-litex-hub-pythondata-cpu-vexriscv_smp-2024.04-r0.apk2.4 MiB2024-07-05 20:32:58
dlib-dev-19.24.4-r0.apk2.4 MiB2024-04-02 09:39:09
ocaml-tcpip-dev-7.1.2-r3.apk2.4 MiB2024-03-23 20:50:06
consul-replicate-0.4.0-r26.apk2.4 MiB2024-07-04 08:22:22
py3-sphinx-theme-guzzle-0.7.11-r7.apk2.4 MiB2024-08-05 23:33:36
py3-seqdiag-3.0.0-r5.apk2.5 MiB2024-04-15 21:03:26
m17n-db-1.8.5-r1.apk2.5 MiB2024-08-02 17:04:38
hub-2.14.2-r26.apk2.5 MiB2024-07-11 13:26:58
piler-1.4.5-r0.apk2.5 MiB2024-05-24 00:10:08
py3-swagger-ui-bundle-1.1.0-r1.apk2.5 MiB2024-04-15 21:03:26
trafficserver9-9.2.4-r0.apk2.5 MiB2024-04-05 13:43:12
eclipse-ecj-4.26-r0.apk2.5 MiB2023-01-14 16:43:43
msh-2.5.0-r7.apk2.5 MiB2024-07-04 08:22:35
ocaml-camomile-dev-1.0.2-r3.apk2.5 MiB2024-03-23 20:49:24
tonutils-reverse-proxy-0.3.3-r0.apk2.5 MiB2024-08-05 14:58:06
py3-blockdiag-tests-3.0.0-r5.apk2.5 MiB2024-07-05 13:42:24
apk-tools3-static-3.0.0_pre2_git20240401-r1.apk2.5 MiB2024-05-19 23:22:00
hurl-4.3.0-r0.apk2.5 MiB2024-05-04 13:41:59
warzone2100-lang-4.5.1-r0.apk2.5 MiB2024-07-28 10:31:46
butane-0.21.0-r0.apk2.5 MiB2024-07-13 17:51:07
apostrophe-2.6.3-r6.apk2.5 MiB2024-04-15 07:15:08
odin-0.2024.08-r0.apk2.5 MiB2024-08-03 04:11:32
mame-tools-0.251-r0.apk2.5 MiB2023-02-22 11:49:41
copyq-9.0.0-r0.apk2.6 MiB2024-07-01 07:20:13
grommunio-gromox-2.30-r0.apk2.6 MiB2024-06-26 04:36:50
cherrytree-1.1.2-r0.apk2.6 MiB2024-04-09 23:13:33
gtkwave-3.3.119-r0.apk2.6 MiB2024-06-13 03:48:07
ocaml-mirage-crypto-dev-0.10.6-r3.apk2.6 MiB2024-03-23 20:49:45
gitoxide-0.14.0-r1.apk2.6 MiB2023-05-24 14:04:06
rtl8812au-src-5.6.4.2_git20231103-r0.apk2.6 MiB2023-12-12 06:31:09
innernet-1.6.1-r0.apk2.6 MiB2024-02-23 19:45:01
rustic-0.6.1-r1.apk2.7 MiB2024-01-08 09:43:00
recoll-1.37.5-r1.apk2.7 MiB2024-04-15 21:03:27
rizin-0.6.3-r1.apk2.7 MiB2024-07-13 05:52:43
font-monaspace-radon-1.000-r0.apk2.7 MiB2023-11-12 12:20:55
py3-language-data-pyc-1.1-r1.apk2.7 MiB2024-04-15 21:03:20
krita-plugin-gmic-3.2.4.1-r3.apk2.7 MiB2024-03-23 19:25:14
siril-1.2.3-r1.apk2.7 MiB2024-07-15 14:09:07
tartube-2.5.0-r1.apk2.7 MiB2024-08-07 13:52:05
jsonnet-bundler-0.5.1-r15.apk2.7 MiB2024-07-04 08:22:28
primecount-dev-7.13-r0.apk2.7 MiB2024-05-01 17:11:16
gliderlabs-sigil-0.11.0-r0.apk2.8 MiB2024-07-22 21:12:49
netsurf-framebuffer-3.11-r0.apk2.8 MiB2024-02-03 13:33:57
dockerize-0.7.0-r8.apk2.8 MiB2024-07-04 08:22:23
vidcutter-6.0.5.2-r0.apk2.8 MiB2024-06-11 06:08:41
mcman-0.4.5-r0.apk2.8 MiB2024-07-17 11:00:06
vym-2.9.26-r0.apk2.8 MiB2023-12-23 12:59:15
linphone-libs-5.3.38-r0.apk2.8 MiB2024-04-15 07:15:34
asymptote-doc-2.90-r0.apk2.8 MiB2024-06-26 15:00:08
recyclarr-cli-7.2.1-r0.apk2.8 MiB2024-08-08 17:56:18
ripasso-cursive-0.6.5-r0.apk2.8 MiB2023-07-09 21:29:47
kanidm-clients-1.2.3-r0.apk2.8 MiB2024-07-04 08:22:28
aprilsh-client-0.7.12-r0.apk2.8 MiB2024-07-23 09:12:19
coin-4.0.0-r7.apk2.8 MiB2024-06-18 14:37:08
ocaml-labltk-8.06.12-r2.apk2.9 MiB2024-03-23 20:49:40
fceux-2.6.6-r2.apk2.9 MiB2024-05-25 13:02:05
py3-okonomiyaki-1.4.0-r0.apk2.9 MiB2024-07-22 21:12:50
pcl-1.14.0-r1.apk2.9 MiB2024-04-22 17:58:11
kubeconform-0.6.6-r2.apk2.9 MiB2024-07-04 08:22:30
dstask-import-0.26-r9.apk2.9 MiB2024-07-04 08:22:24
sgt-puzzles-0_git20230310-r2.apk3.0 MiB2024-06-10 06:08:21
lyrebird-0.2.0-r2.apk3.0 MiB2024-07-04 08:22:33
suru-icon-theme-20.05.1_git20221222-r1.apk3.0 MiB2024-06-22 10:57:53
sing-geoip-20231212-r0.apk3.0 MiB2023-12-13 17:19:00
pipeline-1.15.0-r0.apk3.0 MiB2024-05-28 22:45:12
khinsider-2.0.7-r15.apk3.0 MiB2024-07-04 08:22:29
pwru-1.0.7-r0.apk3.0 MiB2024-07-22 21:12:50
drawpile-client-2.2.1-r1.apk3.0 MiB2024-05-29 17:41:15
maildir2rss-0.0.7-r0.apk3.0 MiB2024-07-11 00:03:24
gobuster-3.6.0-r7.apk3.0 MiB2024-07-04 08:22:26
kapow-0.7.1-r8.apk3.0 MiB2024-07-04 08:22:29
firectl-0.2.0-r14.apk3.1 MiB2024-07-04 08:22:24
octoprint-1.10.1-r0.apk3.1 MiB2024-05-16 23:59:19
mailutils-dev-3.17-r0.apk3.1 MiB2024-01-19 18:21:26
simh-3.11.1-r1.apk3.1 MiB2022-10-28 15:21:40
hilbish-2.3.0-r0.apk3.1 MiB2024-07-22 21:12:50
sigil-lang-2.1.0-r0.apk3.1 MiB2024-05-13 07:58:30
gomp-1.0.0-r7.apk3.1 MiB2024-07-04 08:22:26
libretro-dolphin-0_git20220407-r2.apk3.1 MiB2024-04-15 07:15:33
ocaml-happy-eyeballs-lwt-tools-0.3.0-r3.apk3.1 MiB2024-03-23 20:49:35
symengine-0.12.0-r0.apk3.1 MiB2024-06-30 19:10:23
ocaml-gitlab-0.1.8-r0.apk3.1 MiB2024-04-22 06:13:55
alpine-lift-0.2.0-r18.apk3.1 MiB2024-07-04 08:22:19
prometheus-unbound-exporter-0.4.6-r0.apk3.2 MiB2024-07-08 16:24:06
certigo-1.16.0-r18.apk3.2 MiB2024-07-04 08:22:21
ocaml-lwt-dev-5.7.0-r0.apk3.2 MiB2024-03-23 20:49:43
drawing-1.0.2-r0.apk3.2 MiB2023-03-06 13:04:48
ruuvi-prometheus-0.1.7-r5.apk3.2 MiB2024-07-04 08:22:37
tty-share-2.4.0-r13.apk3.2 MiB2024-07-04 08:22:41
ghq-1.6.2-r0.apk3.2 MiB2024-07-22 21:12:49
autorestic-1.8.2-r4.apk3.2 MiB2024-07-04 08:22:20
mesa-asahi-rusticl-24.0.0_pre20240727-r0.apk3.2 MiB2024-07-30 19:04:55
emulationstation-theme-gbz35-2.11.2-r1.apk3.3 MiB2024-05-21 16:14:14
spotify-player-0.18.1-r0.apk3.3 MiB2024-05-04 13:42:10
drawpile-tools-2.2.1-r1.apk3.3 MiB2024-05-29 17:41:15
svls-0.2.12-r0.apk3.3 MiB2024-06-13 03:48:08
qucs-s-1.1.0-r1.apk3.3 MiB2023-08-01 15:19:52
nsh-dbg-0.4.2-r1.apk3.3 MiB2023-05-24 14:04:15
speedtest_exporter-0.3.2-r10.apk3.3 MiB2024-07-04 08:22:38
lotide-0.15.0-r0.apk3.3 MiB2024-03-30 06:39:00
comics-downloader-0.33.8-r5.apk3.3 MiB2024-07-04 08:22:22
openttd-opengfx-7.1-r0.apk3.3 MiB2021-09-25 20:16:27
betula-1.1.0-r5.apk3.4 MiB2024-07-04 08:22:20
qgis-dev-3.34.0-r0.apk3.4 MiB2024-08-03 16:28:01
vym-doc-2.9.26-r0.apk3.4 MiB2023-12-23 12:59:15
stardict-help-3.0.6-r6.apk3.4 MiB2023-04-30 21:31:07
dsnet-0.7.3-r5.apk3.4 MiB2024-07-04 08:22:24
tootik-0.11.4-r0.apk3.4 MiB2024-07-04 08:22:40
pathvector-6.3.2-r8.apk3.4 MiB2024-07-04 08:22:36
nauty-dev-2.8.8-r0.apk3.5 MiB2023-12-08 02:15:10
frescobaldi-3.3.0-r1.apk3.5 MiB2024-04-15 21:03:10
prometheus-ceph-exporter-4.2.3-r4.apk3.5 MiB2024-07-04 08:22:36
openttd-lang-14.1-r0.apk3.5 MiB2024-07-25 00:43:49
xemu-0.7.131-r1.apk3.5 MiB2024-08-07 12:29:32
ticker-4.6.3-r0.apk3.5 MiB2024-07-07 05:35:23
netscanner-0.5.1-r1.apk3.5 MiB2024-06-03 18:39:59
wgcf-2.2.22-r3.apk3.5 MiB2024-07-04 08:22:42
grommunio-admin-web-2.9.0-r3.apk3.5 MiB2024-06-18 14:37:17
apollo-0.2.1-r5.apk3.5 MiB2024-07-04 08:22:19
exercism-3.2.0-r7.apk3.6 MiB2024-07-04 08:22:24
rbw-1.12.1-r0.apk3.6 MiB2024-08-03 05:11:11
erlang-ls-0.52.0-r0.apk3.6 MiB2024-07-27 03:54:16
openssl1.1-compat-dbg-1.1.1w-r0.apk3.6 MiB2023-09-12 11:26:28
mesa-asahi-osmesa-24.0.0_pre20240727-r0.apk3.6 MiB2024-07-30 19:04:55
prometheus-rethinkdb-exporter-1.0.1-r23.apk3.6 MiB2024-07-04 08:22:36
dive-0.11.0-r8.apk3.6 MiB2024-07-04 08:22:23
advancemame-mess-3.9-r4.apk3.6 MiB2023-03-16 01:55:46
libsymmetrica-3.0.1-r2.apk3.7 MiB2023-08-01 15:19:33
ocaml-containers-3.7-r2.apk3.7 MiB2024-03-23 20:49:25
gotify-cli-2.3.2-r0.apk3.7 MiB2024-08-02 12:22:22
ocaml-omod-bin-0.0.3-r3.apk3.7 MiB2024-03-23 20:49:49
lomiri-gallery-app-3.0.2-r0.apk3.7 MiB2024-03-08 21:43:08
typos-1.23.2-r0.apk3.7 MiB2024-07-16 21:05:48
skia-sharp-0_git20230912-r0.apk3.7 MiB2023-11-20 20:49:53
font-teluguvijayam-20190525-r1.apk3.7 MiB2022-08-21 15:52:18
godap-2.7.3-r0.apk3.8 MiB2024-07-31 21:42:35
prometheus-smartctl-exporter-0.12.0-r5.apk3.8 MiB2024-07-04 08:22:36
manifest-tool-2.1.6-r5.apk3.8 MiB2024-07-04 08:22:33
pspp-dbg-1.4.1-r4.apk3.8 MiB2024-06-10 06:08:21
yarr-2.4-r8.apk3.8 MiB2024-07-04 08:22:43
geodns-logs-3.3.0-r8.apk3.8 MiB2024-07-04 08:22:25
pari-libs-2.15.5-r0.apk3.9 MiB2024-07-22 21:12:50
ocaml-lambda-term-dev-3.2.0-r4.apk3.9 MiB2024-03-23 20:49:41
mypaint-2.0.1-r1.apk3.9 MiB2024-07-14 15:36:23
otrs-dev-6.0.48-r2.apk3.9 MiB2024-07-07 19:11:32
jsonnet-language-server-0.13.1-r5.apk3.9 MiB2024-07-04 08:22:28
mqtt2prometheus-0.1.7-r11.apk3.9 MiB2024-07-04 08:22:35
dnote-0.15.1-r0.apk3.9 MiB2024-07-05 19:44:28
rnote-cli-0.11.0-r0.apk3.9 MiB2024-07-27 22:04:27
acmetool-0.2.2-r8.apk3.9 MiB2024-07-04 08:22:19
pdfcpu-0.7.0-r4.apk3.9 MiB2024-07-04 08:22:36
modem-manager-gui-doc-0.0.20-r0.apk3.9 MiB2021-10-29 13:58:25
mtg-2.1.7-r16.apk3.9 MiB2024-07-04 08:22:35
phoronix-test-suite-10.8.4-r2.apk3.9 MiB2023-10-14 23:23:35
lomiri-0.2.1-r2.apk4.0 MiB2024-06-22 10:57:53
fq-0.10.0-r6.apk4.0 MiB2024-07-04 08:22:25
horizon-dbg-0.9.6-r9.apk4.0 MiB2024-04-22 17:58:03
kubectl-krew-0.4.4-r7.apk4.0 MiB2024-07-04 08:22:30
mobroute-0.6.0-r0.apk4.0 MiB2024-07-07 05:48:48
tanka-0.27.1-r0.apk4.0 MiB2024-07-07 05:46:40
ytt-0.47.0-r5.apk4.0 MiB2024-07-04 08:22:43
rtl88x2bu-src-5.13.1_git20230711-r0.apk4.0 MiB2023-07-26 06:22:58
ocaml-lambda-term-3.2.0-r4.apk4.0 MiB2024-03-23 20:49:41
prometheus-smokeping-prober-0.7.1-r7.apk4.0 MiB2024-07-04 08:22:36
sigil-2.1.0-r0.apk4.0 MiB2024-05-13 07:58:30
reg-0.16.1-r23.apk4.0 MiB2024-07-04 08:22:37
java-jtharness-6.0_p12-r0.apk4.0 MiB2022-10-05 19:11:04
go-swag-1.16.3-r0.apk4.0 MiB2024-07-25 08:27:24
crowdsec-email-plugin-1.6.2-r4.apk4.0 MiB2024-07-14 22:15:40
py3-drf-yasg-1.21.7-r1.apk4.0 MiB2024-04-15 21:03:18
yazi-0.3.0-r0.apk4.1 MiB2024-08-02 11:57:28
openocd-git-dbg-0_git20240113-r0.apk4.1 MiB2024-01-17 08:37:53
prometheus-bind-exporter-0.7.0-r6.apk4.1 MiB2024-07-04 08:22:36
cura-lang-5.2.2-r1.apk4.1 MiB2024-04-15 21:03:10
mstflint-4.26.0.1-r0.apk4.1 MiB2024-01-21 15:22:52
php81-pecl-grpc-1.65.2-r0.apk4.1 MiB2024-08-05 03:41:03
flutter-tool-developer-3.19.4-r0.apk4.1 MiB2024-03-21 23:13:22
muse-doc-4.2.1-r1.apk4.1 MiB2024-04-16 15:49:05
gx-0.14.3-r25.apk4.1 MiB2024-07-04 08:22:26
task3-3.1.0-r0.apk4.1 MiB2024-08-08 14:12:39
mattermost-desktop-5.8.1-r0.apk4.1 MiB2024-06-14 23:54:12
zot-exporter-2.1.0-r0.apk4.1 MiB2024-07-22 21:12:51
gmic-libs-3.3.5-r0.apk4.2 MiB2024-04-26 13:52:27
rizin-libs-0.6.3-r1.apk4.2 MiB2024-07-13 05:52:43
sonar-scanner-6.1.0.4477-r0.apk4.2 MiB2024-07-09 16:22:21
ocaml-dns-dev-6.2.2-r3.apk4.2 MiB2024-03-23 20:49:29
crowdsec-splunk-plugin-1.6.2-r4.apk4.2 MiB2024-07-14 22:15:40
crowdsec-http-plugin-1.6.2-r4.apk4.2 MiB2024-07-14 22:15:40
geodns-3.3.0-r8.apk4.2 MiB2024-07-04 08:22:25
chamo-dev-4.0-r0.apk4.2 MiB2024-04-22 06:13:54
fathom-1.3.1-r8.apk4.2 MiB2024-07-04 08:22:24
crowdsec-slack-plugin-1.6.2-r4.apk4.2 MiB2024-07-14 22:15:40
amule-2.3.3-r13.apk4.2 MiB2024-04-22 17:57:59
biome-1.8.3-r0.apk4.3 MiB2024-08-08 00:41:45
envconsul-0.13.2-r8.apk4.3 MiB2024-07-04 08:22:24
newlib-esp-xtensa-esp32-elf-0_git20240109-r0.apk4.3 MiB2024-06-19 15:51:14
tfupdate-0.8.2-r1.apk4.3 MiB2024-07-04 08:22:40
newlib-esp-xtensa-esp32s3-elf-0_git20240109-r0.apk4.3 MiB2024-06-19 15:51:14
jami-daemon-4.0.0_git20230925-r2.apk4.3 MiB2024-06-03 06:22:32
ocaml-uri-dev-4.2.0-r2.apk4.3 MiB2024-03-23 20:50:07
newlib-esp-xtensa-esp32s2-elf-0_git20240109-r0.apk4.3 MiB2024-06-19 15:51:14
dhewm3-1.5.2-r0.apk4.3 MiB2022-08-24 07:59:17
libwasmtime-static-22.0.0-r1.apk4.3 MiB2024-07-07 22:17:52
i3status-rust-0.33.1-r0.apk4.3 MiB2024-04-08 19:59:23
ssh-cert-authority-2.0.0-r21.apk4.4 MiB2024-07-04 08:22:38
gx-go-1.9.0-r27.apk4.4 MiB2024-07-04 08:22:26
projectm-presets-3.1.12-r2.apk4.4 MiB2024-05-08 13:01:52
arc-icon-theme-20161122-r0.apk4.4 MiB2021-05-04 20:49:04
php81-pecl-couchbase-4.2.2-r0.apk4.4 MiB2024-07-25 19:50:14
chatterino2-2.5.1-r0.apk4.4 MiB2024-06-29 21:04:15
templ-0.2.747-r0.apk4.4 MiB2024-07-07 05:48:48
conntracct-0.2.7-r26.apk4.4 MiB2024-07-04 08:22:22
qownnotes-lang-23.6.6-r0.apk4.4 MiB2023-07-02 22:03:55
java-jtreg-7.3.1_p1-r0.apk4.4 MiB2023-10-22 08:20:03
flare-engine-1.14-r0.apk4.4 MiB2023-01-26 19:28:32
yoshimi-doc-2.3.2-r0.apk4.5 MiB2024-04-18 13:53:57
newlib-esp-xtensa-esp8266-elf-0_git20240109-r0.apk4.5 MiB2024-06-19 15:51:14
olab-0.1.8-r0.apk4.5 MiB2024-04-22 06:13:59
mir-dev-2.15.0-r3.apk4.5 MiB2024-06-26 15:03:24
s5cmd-2.2.2-r5.apk4.5 MiB2024-07-04 08:22:37
flutter-gtk-3.19.4-r0.apk4.5 MiB2024-03-21 23:13:20
flutter-glfw-3.19.4-r0.apk4.5 MiB2024-03-21 23:13:19
py3-language-data-1.1-r1.apk4.5 MiB2024-04-15 21:03:20
ocaml-gettext-0.4.2-r3.apk4.5 MiB2024-03-23 20:49:34
palp-2.20-r1.apk4.5 MiB2023-08-01 15:19:38
cargo-leptos-0.2.17-r0.apk4.6 MiB2024-04-28 19:56:38
geonames-lang-0.3.1-r2.apk4.6 MiB2024-06-22 10:57:53
spacectl-1.0.0-r1.apk4.7 MiB2024-07-04 08:22:38
libsymmetrica-static-3.0.1-r2.apk4.7 MiB2023-08-01 15:19:35
kubectl-oidc_login-1.28.1-r0.apk4.7 MiB2024-07-07 05:39:48
py3-litex-hub-pythondata-software-picolibc-2024.04-r0.apk4.7 MiB2024-07-05 20:32:58
android-translation-layer-0_git20240527-r0.apk4.7 MiB2024-05-28 10:54:11
ocaml-base-0.16.3-r0.apk4.7 MiB2024-03-23 20:49:17
qbittorrent-cli-2.0.0-r6.apk4.7 MiB2024-07-04 08:22:37
autoscan-1.4.0-r6.apk4.7 MiB2024-07-04 08:22:20
scalingo-1.30.0-r5.apk4.8 MiB2024-07-04 08:22:37
wabt-1.0.35-r0.apk4.8 MiB2024-06-29 12:57:46
secsipidx-dev-1.3.2-r7.apk4.8 MiB2024-07-04 08:22:38
tauri-cli-1.6.0-r0.apk4.8 MiB2024-07-15 08:43:08
cargo-shuttle-0.47.0-r0.apk4.8 MiB2024-07-25 20:45:08
speedtest-go-1.1.5-r10.apk4.9 MiB2024-07-04 08:22:38
abc-0_git20240102-r0.apk4.9 MiB2024-01-19 00:05:17
manticore-converter-6.2.12-r1.apk4.9 MiB2024-05-24 02:46:40
py3-nwdiag-3.0.0-r3.apk4.9 MiB2024-08-05 23:33:36
nauty-2.8.8-r0.apk4.9 MiB2023-12-08 02:15:04
swi-prolog-9.2.6-r0.apk4.9 MiB2024-07-28 18:52:48
alps-0_git20230807-r6.apk4.9 MiB2024-07-04 08:22:19
mesa-asahi-dri-gallium-24.0.0_pre20240727-r0.apk5.0 MiB2024-07-30 19:04:55
py3-onnxruntime-1.18.1-r1.apk5.0 MiB2024-07-14 22:15:40
ocaml-ppx_blob-0.8.0-r0.apk5.1 MiB2024-04-22 06:13:57
qtox-1.17.6-r6.apk5.1 MiB2024-01-20 19:47:43
virter-0.27.0-r3.apk5.1 MiB2024-07-04 08:22:42
comics-downloader-gui-0.33.8-r5.apk5.1 MiB2024-07-04 08:22:22
ocaml-camomile-data-1.0.2-r3.apk5.1 MiB2024-03-23 20:49:23
dustracing2d-2.1.1-r1.apk5.1 MiB2022-10-28 15:20:33
ocaml-lwt_ppx-5.7.0-r0.apk5.2 MiB2024-03-23 20:49:43
jackline-0.1.0-r3.apk5.2 MiB2024-03-23 20:49:08
openjdk22-demos-22.0.1_p8-r2.apk5.2 MiB2024-04-26 00:12:49
cvise-2.8.0-r2.apk5.2 MiB2023-07-29 22:00:14
drone-cli-1.8.0-r5.apk5.2 MiB2024-07-04 08:22:24
upterm-server-0.14.3-r0.apk5.3 MiB2024-07-07 05:35:23
mautrix-slack-0_git20230925-r6.apk5.3 MiB2024-07-04 08:22:34
flint-2.9.0-r1.apk5.3 MiB2023-01-07 11:00:08
warzone2100-4.5.1-r0.apk5.4 MiB2024-07-28 10:31:42
ocaml-bitstring-4.1.0-r3.apk5.4 MiB2024-03-23 20:49:21
upterm-0.14.3-r0.apk5.4 MiB2024-07-07 05:35:23
asteroid-wallpapers-2.0.0-r0.apk5.5 MiB2023-09-01 06:31:45
fxfloorboard-katana-mk2-20240515-r1.apk5.5 MiB2024-07-06 05:09:46
rattler-build-0.18.0-r0.apk5.5 MiB2024-06-19 21:03:31
ocaml-cstruct-6.1.0-r3.apk5.5 MiB2024-03-23 20:49:27
py3-litex-hub-pythondata-cpu-blackparrot-2024.04-r0.apk5.6 MiB2024-07-05 20:32:57
go-jsonnet-0.20.0-r9.apk5.6 MiB2024-07-04 08:22:26
typescript-5.4.5-r0.apk5.6 MiB2024-06-02 20:48:55
fluent-bit-3.0.7-r0.apk5.6 MiB2024-06-26 04:36:48
yoshimi-2.3.2-r0.apk5.6 MiB2024-04-18 13:53:57
warp-s3-0.8.0-r6.apk5.6 MiB2024-07-04 08:22:42
cargo-crev-0.25.9-r0.apk5.7 MiB2024-06-23 18:33:30
singular-static-4.3.2-r2.apk5.7 MiB2023-08-01 15:19:55
distrobuilder-3.0-r0.apk5.8 MiB2024-07-28 01:22:58
advancemame-data-3.9-r4.apk5.8 MiB2023-03-16 01:55:46
manticore-6.2.12-r1.apk5.8 MiB2024-05-24 02:46:40
pypy3-pyc-7.3.12-r0.apk5.9 MiB2023-06-16 22:21:25
ocaml-uucp-14.0.0-r2.apk5.9 MiB2024-03-23 20:50:10
etcd-ctl-3.5.14-r1.apk5.9 MiB2024-07-04 08:22:24
mautrix-discord-0.7.0-r0.apk5.9 MiB2024-07-16 12:32:58
sydbox-utils-3.21.3-r0.apk5.9 MiB2024-06-12 11:18:32
ocaml-sedlex-3.2-r0.apk5.9 MiB2024-03-23 20:50:04
termusic-mpv-0.7.11-r0.apk6.0 MiB2023-09-06 15:45:57
compiz-0.9.14.2-r6.apk6.0 MiB2024-07-14 22:15:39
fplll-libs-5.4.5-r0.apk6.0 MiB2023-10-22 08:04:56
gb-0.4.4-r26.apk6.0 MiB2024-07-04 08:22:25
muse-4.2.1-r1.apk6.1 MiB2024-04-16 15:49:05
wf-shell-0.8.1-r0.apk6.1 MiB2024-03-15 06:19:31
lychee-0.15.1-r0.apk6.1 MiB2024-04-29 23:18:45
wasmtime-22.0.0-r1.apk6.1 MiB2024-07-07 22:17:52
zrepl-0.6.1-r6.apk6.1 MiB2024-07-04 08:22:44
ocamlnet-dev-4.1.9-r2.apk6.1 MiB2024-03-23 20:50:17
go-passbolt-cli-0.3.1-r3.apk6.1 MiB2024-07-04 08:22:26
pict-rs-0.5.16-r1.apk6.1 MiB2024-06-26 04:20:18
ocaml-bisect_ppx-2.8.3-r0.apk6.1 MiB2024-03-23 20:49:20
glow-1.5.1-r9.apk6.1 MiB2024-07-04 08:22:26
font-fira-ttf-4.202-r0.apk6.2 MiB2021-12-04 03:01:19
kannel-1.5.0-r11.apk6.2 MiB2023-04-30 21:30:23
grlx-sprout-1.0.4-r0.apk6.2 MiB2024-07-05 20:10:50
mautrix-gmessages-0.4.3-r0.apk6.2 MiB2024-07-16 12:32:58
libdcmtk-3.6.8-r0.apk6.2 MiB2024-01-13 22:53:02
ocaml-higlo-0.9-r0.apk6.2 MiB2024-04-22 06:13:56
theforceengine-doc-1.09.540-r1.apk6.3 MiB2024-02-12 16:02:54
ocaml-stk-0.1.0-r0.apk6.3 MiB2024-04-22 06:13:57
kompose-1.31.2-r5.apk6.4 MiB2024-07-04 08:22:30
openttd-14.1-r0.apk6.4 MiB2024-07-25 00:43:49
openssl1.1-compat-libs-static-1.1.1w-r0.apk6.5 MiB2023-09-12 11:26:29
py3-flask-admin-1.6.1-r3.apk6.5 MiB2024-04-15 21:03:19
yaegi-0.16.1-r3.apk6.5 MiB2024-07-04 08:22:43
unicorn-2.0.1-r4.apk6.5 MiB2024-04-19 15:15:31
rio-0.1.1-r0.apk6.6 MiB2024-06-22 10:57:53
please-build-17.9.0-r0.apk6.6 MiB2024-07-24 21:17:01
telegram-bot-api-7.7-r0.apk6.6 MiB2024-07-12 02:41:37
ocaml-ppx_deriving-5.3.0-r0.apk6.6 MiB2024-03-23 20:49:51
tomcat9-9.0.93-r0.apk6.6 MiB2024-08-10 17:31:06
fpc-stage0-3.2.2-r3.apk6.6 MiB2024-06-20 01:12:38
openjdk22-jdk-22.0.1_p8-r2.apk6.6 MiB2024-04-26 00:12:50
telegram-tdlib-1.8.33-r0.apk6.6 MiB2024-07-11 05:04:31
filebrowser-2.27.0-r6.apk6.7 MiB2024-07-04 08:22:24
ocaml-containers-dev-3.7-r2.apk6.7 MiB2024-03-23 20:49:26
sqlmap-1.8.7-r0.apk6.8 MiB2024-07-15 08:48:41
kanidm-unixd-clients-1.2.3-r0.apk6.8 MiB2024-07-04 08:22:28
theforceengine-1.09.540-r1.apk6.8 MiB2024-02-12 16:02:53
boa-cli-0.19-r0.apk6.8 MiB2024-07-12 02:41:35
fplll-static-5.4.5-r0.apk6.9 MiB2023-10-22 08:04:56
desync-0.9.5-r8.apk6.9 MiB2024-07-04 08:22:23
protoconf-0.1.7-r8.apk6.9 MiB2024-07-04 08:22:37
nom-2.1.4-r3.apk7.0 MiB2024-07-04 08:22:35
gambit-dev-4.9.5-r0.apk7.1 MiB2024-01-21 13:57:39
ovn-24.03.1-r0.apk7.1 MiB2024-04-12 06:15:46
regal-0.24.0-r0.apk7.1 MiB2024-07-22 21:12:50
kine-0.10.1-r8.apk7.2 MiB2024-07-04 08:22:29
intiface-central-2.5.7-r0.apk7.2 MiB2024-04-22 04:42:27
sish-2.16.0-r2.apk7.2 MiB2024-07-04 08:22:38
psst-0_git20240526-r1.apk7.3 MiB2024-08-08 20:01:03
clementine-1.4.0_git20220324-r12.apk7.3 MiB2024-05-08 13:01:52
oauth2-proxy-7.6.0-r6.apk7.3 MiB2024-07-04 08:22:35
mautrix-meta-0.3.2-r0.apk7.4 MiB2024-07-16 12:32:58
font-fira-otf-4.202-r0.apk7.4 MiB2021-12-04 03:01:19
mailctl-0.9.2-r0.apk7.4 MiB2024-01-02 20:57:11
grpcurl-1.9.1-r3.apk7.5 MiB2024-07-04 08:22:26
zot-cli-2.1.0-r0.apk7.5 MiB2024-07-22 21:12:51
mitra-2.26.0-r0.apk7.5 MiB2024-07-22 21:12:50
ocp-index-1.3.6-r0.apk7.5 MiB2024-03-23 20:50:18
transito-0.6.1-r0.apk7.6 MiB2024-07-07 16:13:33
azote-1.12.7-r0.apk7.6 MiB2024-05-06 21:24:56
cinny-web-4.1.0-r0.apk7.6 MiB2024-08-06 13:02:14
supercollider-3.13.0-r5.apk7.6 MiB2024-05-21 16:39:55
etcd-3.5.14-r1.apk7.7 MiB2024-07-04 08:22:24
opcr-policy-0.2.16-r0.apk7.8 MiB2024-07-22 21:12:50
unicorn-dev-2.0.1-r4.apk7.8 MiB2024-04-19 15:15:31
libretro-mame2003-0_git20220206-r0.apk7.9 MiB2022-04-21 10:02:38
glmark2-2023.01-r1.apk7.9 MiB2024-07-12 02:41:37
faust-2.60.3-r2.apk8.0 MiB2023-07-02 22:01:54
gambit-4.9.5-r0.apk8.1 MiB2024-01-21 13:57:34
fwallet-1.1.9-r0.apk8.1 MiB2024-07-11 14:58:16
pspp-1.4.1-r4.apk8.1 MiB2024-06-10 06:08:21
ko-0.15.4-r0.apk8.1 MiB2024-07-07 12:02:48
gnucash-lang-5.8-r0.apk8.2 MiB2024-07-22 21:12:50
cloudfoundry-cli-8.7.9-r4.apk8.3 MiB2024-07-04 08:22:22
gnucash-5.8-r0.apk8.3 MiB2024-07-22 21:12:50
itd-1.1.0-r8.apk8.4 MiB2024-07-04 08:22:27
ocaml-lablgtk3-3.1.2-r3.apk8.4 MiB2024-03-23 20:49:37
gmsh-4.12.2-r2.apk8.4 MiB2024-06-18 14:37:14
bomctl-0.1.9-r1.apk8.4 MiB2024-07-04 08:22:20
git-bug-0.8.0-r14.apk8.4 MiB2024-07-04 08:22:26
docker-auth-1.11.0-r10.apk8.5 MiB2024-07-04 08:22:23
jfrog-cli-2.45.0-r7.apk8.5 MiB2024-07-04 08:22:28
onnxruntime-1.18.1-r1.apk8.5 MiB2024-07-14 22:15:40
py3-apicula-0.11.1-r1.apk8.5 MiB2024-04-15 21:03:17
ocaml-atd-2.15.0-r0.apk8.5 MiB2024-04-22 06:13:54
psi-plus-1.5.1965-r0.apk8.6 MiB2024-06-13 03:35:29
texlab-5.15.0-r0.apk8.6 MiB2024-04-26 15:31:33
art_standalone-dev-0_git20240525-r0.apk8.6 MiB2024-05-28 10:54:14
grpcui-1.4.1-r3.apk8.6 MiB2024-07-04 08:22:26
chamo-4.0-r0.apk8.8 MiB2024-04-22 06:13:53
fcitx5-5.1.10-r0.apk8.8 MiB2024-06-22 21:21:49
lumina-desktop-core-1.6.2-r0.apk8.9 MiB2022-07-05 19:10:43
bettercap-2.32.0-r23.apk8.9 MiB2024-07-04 08:22:20
mautrix-signal-0.6.3-r0.apk8.9 MiB2024-07-16 13:16:05
coccinelle-1.1.1-r2.apk9.0 MiB2024-04-18 11:11:28
gortr-0.14.8-r8.apk9.0 MiB2024-07-04 08:22:26
maddy-0.7.1-r5.apk9.0 MiB2024-07-04 08:22:33
piper-phonemize-2023.11.14.4-r3.apk9.0 MiB2024-07-11 00:03:24
linphone-5.3.38-r0.apk9.0 MiB2024-04-15 07:15:34
stayrtr-0.5.1-r5.apk9.0 MiB2024-07-04 08:22:39
cinny-4.1.0-r0.apk9.0 MiB2024-08-05 23:33:36
pixi-0.24.2-r0.apk9.1 MiB2024-06-16 21:09:03
ppl-doc-1.2-r1.apk9.1 MiB2023-05-15 16:46:19
haproxy-dataplaneapi-2.9.5-r1.apk9.1 MiB2024-07-10 08:32:28
snowflake-2.9.2-r4.apk9.2 MiB2024-07-04 08:22:38
electron-lang-30.3.0-r0.apk9.2 MiB2024-07-22 21:12:49
undock-0.8.0-r1.apk9.3 MiB2024-07-04 08:22:41
rnote-0.11.0-r0.apk9.4 MiB2024-07-27 22:04:27
hangover-wine-dev-9.5-r0.apk9.4 MiB2024-04-01 19:00:48
vale-3.7.0-r0.apk9.4 MiB2024-07-22 21:12:51
dbmate-2.16.0-r0.apk9.4 MiB2024-07-04 14:06:03
qlcplus-4.13.1-r0.apk9.4 MiB2024-06-27 22:02:55
kubeseal-0.27.1-r0.apk9.4 MiB2024-07-22 21:12:50
headscale-0.22.3-r9.apk9.5 MiB2024-07-04 08:22:27
mangal-4.0.6-r13.apk9.6 MiB2024-07-04 08:22:33
sc3-plugins-3.13.0-r1.apk9.6 MiB2023-02-24 13:25:00
please-build-tools-17.9.0-r0.apk9.6 MiB2024-07-24 21:17:01
ocaml-base-dev-0.16.3-r0.apk9.7 MiB2024-03-23 20:49:19
buf-protoc-plugins-1.34.0-r0.apk9.7 MiB2024-07-09 14:11:56
ocaml-cohttp-tools-5.3.1-r0.apk9.9 MiB2024-04-22 06:13:55
flightgear-2020.3.19-r1.apk9.9 MiB2024-04-22 17:58:02
seed7-05.20240322-r0.apk10.0 MiB2024-03-24 13:17:01
ocaml-stk-dev-0.1.0-r0.apk10.0 MiB2024-04-22 06:13:58
helm-ls-0.0.12-r4.apk10.0 MiB2024-07-04 08:22:27
maxima-doc-extra-5.47.0-r8.apk10.0 MiB2024-07-02 15:39:49
py3-litex-hub-pythondata-cpu-cva6-2024.04-r0.apk10.1 MiB2024-07-05 20:32:57
buf-1.34.0-r0.apk10.2 MiB2024-07-09 14:11:56
singular-4.3.2-r2.apk10.2 MiB2023-08-01 15:19:54
sing-box-1.9.3-r0.apk10.3 MiB2024-07-04 08:22:38
ovn-dev-24.03.1-r0.apk10.4 MiB2024-04-12 06:15:47
klfc-1.5.7-r0.apk10.4 MiB2022-08-21 15:20:06
mapnik-3.1.0-r28.apk10.4 MiB2024-08-06 09:47:02
jackal-0.64.0-r10.apk10.5 MiB2024-07-04 08:22:28
ocaml-ocf-0.8.0-r3.apk10.7 MiB2024-03-23 20:49:48
vcmi-1.5.5-r0.apk10.8 MiB2024-07-17 23:50:25
openttd-opensfx-1.0.3-r0.apk11.0 MiB2021-11-03 02:16:35
anki-24.06.2-r0.apk11.0 MiB2024-06-24 13:34:27
eccodes-2.32.1-r0.apk11.2 MiB2023-12-08 22:04:27
linkquisition-1.6.1-r0.apk11.2 MiB2024-07-27 21:56:47
libretro-fbneo-0_git20220416-r0.apk11.3 MiB2022-04-21 10:02:37
gmic-3.3.5-r0.apk11.3 MiB2024-04-26 13:52:27
ocaml-gitlab-dev-0.1.8-r0.apk11.6 MiB2024-04-22 06:13:56
waifu2x-converter-cpp-5.3.4-r7.apk11.6 MiB2024-07-15 14:09:07
kismet-0.202307.1-r3.apk11.7 MiB2024-07-14 22:15:40
lemmy-0.19.5-r1.apk11.7 MiB2024-06-26 04:20:18
woodpecker-agent-2.7.0-r0.apk11.7 MiB2024-07-24 04:26:20
ocaml-xtmpl-0.19.0-r0.apk12.0 MiB2024-04-22 06:13:59
noggin-model-0.1-r0.apk12.0 MiB2023-06-16 22:20:38
chicago95-icons-3.0.1_git20240619-r0.apk12.0 MiB2024-06-19 19:34:20
kopia-0.17.0-r2.apk12.0 MiB2024-07-04 08:22:30
linuxkit-1.3.0-r0.apk12.0 MiB2024-07-22 21:12:50
atlas-0.25.0-r0.apk12.1 MiB2024-07-22 21:12:48
typst-lsp-0.13.0-r0.apk12.1 MiB2024-03-16 17:38:46
nvidia-src-535.86.05-r0.apk12.1 MiB2023-07-18 19:11:25
kube-no-trouble-0.7.2-r4.apk12.1 MiB2024-07-04 08:22:30
gprbuild-22.0.0-r3.apk12.2 MiB2024-08-02 16:23:00
katana-1.1.0-r4.apk12.3 MiB2024-07-04 08:22:29
libvmime-dbg-0.9.2.175-r0.apk12.5 MiB2024-04-26 06:25:52
virtctl-1.3.0-r0.apk12.6 MiB2024-07-22 21:12:51
texmaker-5.1.4-r0.apk12.6 MiB2023-05-01 17:31:33
advancemame-3.9-r4.apk12.7 MiB2023-03-16 01:55:45
nodejs18-18.19.1-r0.apk12.7 MiB2024-03-14 17:21:30
grlx-farmer-1.0.4-r0.apk12.8 MiB2024-07-05 20:10:50
vice-3.8-r0.apk12.8 MiB2024-01-12 00:43:02
dnscontrol-4.12.5-r0.apk12.8 MiB2024-07-18 21:45:49
scaleway-cli-2.32.1-r0.apk12.8 MiB2024-07-05 00:11:04
taskcafe-0.3.6-r8.apk12.8 MiB2024-07-04 08:22:39
pypy3-tests-7.3.12-r0.apk12.9 MiB2023-06-16 22:21:27
linux-openpax-doc-6.10.2-r0.apk13.1 MiB2024-08-02 23:36:47
linux-openpax-dev-6.10.2-r0.apk13.1 MiB2024-08-02 23:36:47
tic-80-1.1.2837-r3.apk13.2 MiB2024-06-18 14:37:21
utop-2.9.1-r4.apk13.3 MiB2024-04-04 10:39:21
utop-full-2.9.1-r4.apk13.3 MiB2024-04-04 10:39:21
jami-qt-20230925-r0.apk13.6 MiB2023-10-14 23:22:28
btcd-0.24.0-r3.apk13.6 MiB2024-07-04 08:22:20
grlx-1.0.4-r0.apk13.6 MiB2024-07-05 20:10:50
prometheus-podman-exporter-1.11.0-r3.apk13.6 MiB2024-07-04 08:22:36
lipstick-asteroidos-dbg-2.0.0-r1.apk13.7 MiB2023-10-08 10:34:15
ocaml-dns-tools-6.2.2-r3.apk13.7 MiB2024-03-23 20:49:31
dissent-0.0.25-r1.apk13.8 MiB2024-07-04 08:22:23
cri-o-1.30.3-r0.apk13.8 MiB2024-07-07 05:41:40
ocaml-lablgtk3-dev-3.1.2-r3.apk14.0 MiB2024-03-23 20:49:39
yass-2.5.0-r0.apk14.1 MiB2024-01-15 20:58:28
flutter-tool-3.19.4-r0.apk14.3 MiB2024-03-21 23:13:22
ocaml-ppxlib-0.32.0-r0.apk14.4 MiB2024-03-23 20:49:53
r2ghidra-5.9.4-r0.apk14.5 MiB2024-08-10 12:55:51
kubepug-1.7.1-r5.apk14.6 MiB2024-07-04 08:22:31
stern-1.30.0-r0.apk15.0 MiB2024-07-07 07:02:11
autobrr-1.44.0-r0.apk15.3 MiB2024-07-30 14:20:38
kanidm-server-1.2.3-r0.apk15.5 MiB2024-07-04 08:22:28
grommunio-web-3.8-r2.apk15.7 MiB2024-06-18 14:37:18
ocaml-reason-3.8.2-r1.apk16.0 MiB2024-03-23 20:49:59
ocaml-ppxlib-dev-0.32.0-r0.apk16.1 MiB2024-03-23 20:49:56
merlin-4.14-r0.apk16.1 MiB2024-03-23 20:49:12
hubble-cli-0.13.6-r0.apk16.5 MiB2024-07-22 21:12:50
icestorm-0_git20240517-r0.apk16.5 MiB2024-06-22 10:57:53
faust-doc-2.60.3-r2.apk16.7 MiB2023-07-02 22:01:56
odin-vendor-0.2024.08-r0.apk16.7 MiB2024-08-03 04:11:32
ovos-core-0.0.8_alpha105-r0.apk17.2 MiB2024-05-31 06:16:29
keybase-client-6.2.8-r5.apk17.4 MiB2024-07-04 08:22:29
ocamlnet-4.1.9-r2.apk17.4 MiB2024-03-23 20:50:16
pcl-libs-1.14.0-r1.apk17.4 MiB2024-04-22 17:58:12
yosys-0.42-r0.apk17.4 MiB2024-06-19 16:02:50
pypy3-7.3.12-r0.apk17.4 MiB2023-06-16 22:21:25
bestline-doc-0.0_git20211108-r0.apk17.6 MiB2022-02-13 15:13:02
pypy-7.3.12-r0.apk17.9 MiB2023-06-16 22:21:21
seaweedfs-3.71-r0.apk17.9 MiB2024-07-28 10:31:42
eclib-static-20231212-r1.apk17.9 MiB2024-04-22 17:58:01
lomiri-sounds-22.02-r0.apk18.0 MiB2024-03-15 17:50:55
linux-elm-6.10.3-r0.apk18.0 MiB2024-08-04 19:42:25
rke-1.4.3-r10.apk18.1 MiB2024-07-04 08:22:37
wtfutil-0.43.0-r8.apk18.1 MiB2024-07-04 08:22:43
mixxx-2.4.1-r1.apk18.2 MiB2024-07-22 21:25:17
art_standalone-0_git20240525-r0.apk18.3 MiB2024-05-28 10:54:11
ombi-4.43.5-r1.apk18.3 MiB2023-11-18 19:37:30
jitsi-meet-1.0.7792-r1.apk18.3 MiB2024-05-24 13:05:35
font-babelstone-han-15.1.3-r0.apk18.3 MiB2024-01-11 11:48:21
flightgear-dbg-2020.3.19-r1.apk18.5 MiB2024-04-22 17:58:03
vector-0.39.0-r0.apk18.7 MiB2024-06-29 15:43:23
pypy-bootstrap-7.3.12-r0.apk18.7 MiB2023-06-16 22:21:23
tetragon-client-1.1.2-r0.apk18.8 MiB2024-07-07 06:03:13
kuma-dp-2.8.1-r0.apk18.9 MiB2024-07-07 05:33:18
mame-data-0.251-r0.apk19.1 MiB2023-02-22 11:49:37
knative-client-1.14.0-r3.apk19.2 MiB2024-07-04 08:22:29
zafiro-icon-theme-1.3-r0.apk19.2 MiB2023-02-05 02:02:54
telegram-tdlib-static-1.8.33-r0.apk19.3 MiB2024-07-11 05:04:31
linux-asahi-6.10.3_p1-r0.apk19.4 MiB2024-08-11 11:42:21
manticore-tools-6.2.12-r1.apk19.4 MiB2024-05-24 02:46:40
py3-litex-hub-pythondata-cpu-microwatt-2024.04-r0.apk19.5 MiB2024-07-05 20:32:58
py3-qgis-3.34.0-r0.apk19.5 MiB2024-08-03 16:28:00
libretro-scummvm-0_git20210325-r0.apk19.6 MiB2021-05-07 01:52:18
thanos-0.31.0-r8.apk19.7 MiB2024-07-04 08:22:40
kubectl-cert-manager-1.14.4-r5.apk19.9 MiB2024-07-04 08:22:30
openjdk22-static-libs-22.0.1_p8-r2.apk20.0 MiB2024-04-26 00:13:02
jicofo-1.0.1078-r0.apk20.1 MiB2024-05-24 13:05:35
fluffychat-1.19.1-r0.apk20.3 MiB2024-04-15 07:15:21
maxima-5.47.0-r8.apk20.8 MiB2024-07-02 15:39:49
tenv-2.0.2-r2.apk20.9 MiB2024-07-04 08:22:39
kumactl-2.8.1-r0.apk21.1 MiB2024-07-07 05:33:19
vals-0.37.3-r0.apk21.2 MiB2024-07-10 06:21:16
avara-0.7.1-r0.apk21.4 MiB2023-03-16 01:55:49
popeye-0.21.3-r3.apk21.4 MiB2024-07-04 08:22:36
nsq-1.3.0-r5.apk21.7 MiB2024-07-04 08:22:35
linux-asahi-dev-6.10.3_p1-r0.apk21.7 MiB2024-08-11 11:42:22
android-apktool-2.9.3-r0.apk21.9 MiB2024-01-25 22:49:53
aero2solver-1.1.0-r0.apk22.1 MiB2024-05-16 18:35:50
kubeone-1.8.1-r0.apk22.2 MiB2024-07-07 05:46:40
libvmime-dev-0.9.2.175-r0.apk22.5 MiB2024-04-26 06:25:53
code-oss-1.89.1-r0.apk22.5 MiB2024-05-30 22:34:12
angband-4.2.5-r0.apk22.6 MiB2024-01-28 16:21:55
futhark-0.25.19-r0.apk22.6 MiB2024-08-05 16:31:10
mint-x-icons-1.6.5-r1.apk22.7 MiB2023-10-31 11:12:40
merlin-dev-4.14-r0.apk22.7 MiB2024-03-23 20:49:16
openra-20231010-r0.apk23.3 MiB2023-10-14 23:23:32
lem-2.2.0-r0.apk23.5 MiB2024-04-29 15:01:56
kuma-cp-2.8.1-r0.apk24.1 MiB2024-07-07 05:33:18
logisim-evolution-3.8.0-r0.apk24.2 MiB2023-11-18 13:23:08
gst-plugins-rs-0.13.0-r0.apk24.2 MiB2024-07-24 04:26:20
reason-rtop-3.8.2-r1.apk24.3 MiB2024-03-23 20:50:28
element-desktop-1.11.73-r0.apk24.9 MiB2024-08-06 13:10:15
nextpnr-ecp5-0.7-r0.apk25.4 MiB2024-06-27 02:55:45
lem-gui-2.2.0-r0.apk25.7 MiB2024-04-29 15:01:56
reason-3.8.2-r1.apk25.7 MiB2024-03-23 20:50:23
ovn-dbg-24.03.1-r0.apk25.8 MiB2024-04-12 06:15:47
linux-gru-6.10.3-r0.apk25.9 MiB2024-08-04 19:29:14
stone-soup-0.31.0-r0.apk26.4 MiB2024-04-07 22:35:40
woodpecker-2.7.0-r0.apk26.5 MiB2024-07-24 04:26:20
openbao-2.0.0-r0.apk27.1 MiB2024-08-01 11:05:56
filebeat-8.14.2-r0.apk27.3 MiB2024-07-04 08:33:21
vlang-0.4.3-r0.apk27.8 MiB2023-12-01 00:34:51
usql-0.15.6-r7.apk28.1 MiB2024-07-04 08:22:41
thelounge-4.4.3-r0.apk28.1 MiB2024-07-07 17:29:41
freedoom-0.13.0-r0.apk28.2 MiB2024-07-27 04:15:22
cfssl-1.6.4-r9.apk28.7 MiB2024-07-04 08:22:21
otrs-6.0.48-r2.apk28.7 MiB2024-07-07 19:11:32
ocaml-reason-dev-3.8.2-r1.apk28.8 MiB2024-03-23 20:50:03
spark-2.8.3-r1.apk28.9 MiB2022-10-28 15:21:42
font-hanazono-20170904-r1.apk28.9 MiB2024-01-06 22:25:31
qgis-lang-3.34.0-r0.apk29.9 MiB2024-08-03 16:28:01
grommunio-gromox-dbg-2.30-r0.apk30.5 MiB2024-06-26 04:36:51
liquibase-4.9.1-r0.apk31.6 MiB2022-04-11 11:47:30
dolt-1.42.9-r0.apk32.3 MiB2024-08-09 19:05:14
zfs-src-2.2.4-r0.apk32.5 MiB2024-05-20 09:29:19
zed-0.138.4-r4.apk32.7 MiB2024-06-05 23:07:25
stockfish-16-r0.apk32.7 MiB2023-07-03 22:52:53
cdogs-sdl-2.1.0-r0.apk33.4 MiB2024-04-17 11:05:07
efl-1.27.0-r1.apk34.0 MiB2024-04-11 23:37:35
metricbeat-8.14.2-r0.apk34.5 MiB2024-07-04 08:33:21
helmfile-0.166.0-r0.apk34.5 MiB2024-07-10 06:21:15
crowdsec-1.6.2-r4.apk34.6 MiB2024-07-14 22:15:39
yaru-icon-theme-23.10.0-r0.apk34.8 MiB2024-04-18 02:06:44
libime-1.1.8-r0.apk35.2 MiB2024-06-26 04:20:18
godot-templates-4.2.2-r0.apk35.9 MiB2024-06-26 04:36:50
flutter-common-3.19.4-r0.apk37.3 MiB2024-03-21 23:13:11
ma1sd-2.5.0-r3.apk38.1 MiB2024-05-07 20:17:03
godot-4.2.2-r0.apk38.3 MiB2024-06-26 04:36:49
extremetuxracer-0.8.3-r0.apk39.5 MiB2023-07-25 13:03:45
qt-creator-13.0.2-r0.apk39.7 MiB2024-06-07 09:35:11
libmedc-doc-4.1.1-r4.apk40.5 MiB2024-06-18 14:37:19
dart-3.3.2-r0.apk40.7 MiB2024-03-21 23:12:25
calibre-7.16.0-r0.apk41.5 MiB2024-07-31 16:58:49
jitsi-videobridge-2.3.105-r0.apk41.8 MiB2024-05-24 13:05:36
cura-5.2.2-r1.apk42.1 MiB2024-04-15 21:03:09
fcitx5-table-extra-5.1.6-r0.apk42.3 MiB2024-06-26 04:20:17
rue-0.3.0-r1.apk42.4 MiB2022-08-20 14:27:33
bazel7-7.2.1-r0.apk42.6 MiB2024-07-07 14:00:39
sdrangel-7.20.0-r1.apk42.8 MiB2024-07-15 14:09:07
flutter-desktop-3.19.4-r0.apk43.6 MiB2024-03-21 23:13:18
qgis-3.34.0-r0.apk44.4 MiB2024-08-03 16:28:01
hyprland-wallpapers-0.41.2-r1.apk45.0 MiB2024-06-29 19:45:21
php84-dbg-8.4.0_alpha4-r0.apk46.5 MiB2024-08-01 12:09:14
starfighter-2.4-r0.apk47.9 MiB2023-08-08 20:37:30
openjdk22-src-22.0.1_p8-r2.apk48.1 MiB2024-04-26 00:13:01
mame-mess-0.251-r0.apk48.9 MiB2023-02-22 11:49:41
gutenprint-cups-5.3.4-r4.apk48.9 MiB2024-07-23 19:22:35
zarf-0.32.1-r5.apk50.0 MiB2024-07-04 08:22:44
cilium-cli-0.16.13-r0.apk51.0 MiB2024-07-22 21:12:48
signal-desktop-7.14.0-r0.apk53.9 MiB2024-06-29 01:22:12
kanister-tools-0.109.0-r0.apk54.6 MiB2024-07-07 05:33:18
lemmy-ui-0.19.5-r0.apk56.4 MiB2024-06-23 16:55:08
py3-litex-hub-pythondata-cpu-rocket-2024.04-r0.apk56.8 MiB2024-07-05 20:32:58
mimir-2.11.0-r5.apk58.5 MiB2024-07-04 08:22:35
zot-2.1.0-r0.apk58.7 MiB2024-07-22 21:12:51
hashcat-6.2.6-r0.apk59.5 MiB2022-09-04 23:49:43
openjdk22-jre-headless-22.0.1_p8-r2.apk61.5 MiB2024-04-26 00:12:58
lxd-feature-5.20-r5.apk63.5 MiB2024-07-04 08:22:33
mame-arcade-0.251-r0.apk63.8 MiB2023-02-22 11:49:36
trivy-0.50.4-r3.apk65.0 MiB2024-07-04 08:22:41
nextpnr-ice40-0.7-r0.apk68.6 MiB2024-06-27 02:55:46
fpc-3.2.2-r4.apk69.3 MiB2024-06-20 01:12:38
mint-y-icons-1.7.2-r0.apk70.5 MiB2023-12-31 19:13:25
linux-openpax-6.10.2-r0.apk71.5 MiB2024-08-02 23:36:47
firefox-developer-edition-130.0_beta1-r0.apk73.0 MiB2024-08-07 12:29:32
openjdk22-jmods-22.0.1_p8-r2.apk75.6 MiB2024-04-26 00:12:54
mono-6.12.0.205-r1.apk81.9 MiB2023-07-29 22:01:28
electron-30.3.0-r0.apk82.9 MiB2024-07-22 21:12:49
texstudio-4.8.1-r0.apk89.8 MiB2024-06-09 14:42:37
mame-0.251-r0.apk91.0 MiB2023-02-22 11:49:32
piglit-0_git20240723-r0.apk93.8 MiB2024-07-30 05:41:10
scummvm-2.8.1-r0.apk102.6 MiB2024-04-15 21:03:32
hangover-wine-9.5-r0.apk106.9 MiB2024-04-01 19:00:47
moka-icon-theme-5.4.0-r2.apk113.7 MiB2021-01-13 16:44:59
srb2-data-2.2.13-r0.apk137.9 MiB2023-11-22 16:24:58
art_standalone-dbg-0_git20240525-r0.apk138.1 MiB2024-05-28 10:54:13
gmsh-dbg-4.12.2-r2.apk141.1 MiB2024-06-18 14:37:17
dart-sdk-3.3.2-r0.apk166.5 MiB2024-03-21 23:13:05
dart-stage0-3.2.0_alpha150_p0-r0.apk211.6 MiB2024-03-02 04:32:33
endless-sky-0.10.2-r0.apk242.2 MiB2024-06-18 14:37:12
warzone2100-data-4.5.1-r0.apk330.9 MiB2024-07-28 10:31:46
trigger-rally-data-0.6.7-r2.apk352.1 MiB2024-01-02 08:42:50
sauerbraten-2020.12.29-r3.apk934.0 MiB2024-02-12 16:02:52