colord-color-profiles-1.4.4-150200.4.6.1<>,Xxc5pp9|;& uv~&KRy<եݜ)XVS 癒oFt/baS`ZSvXS}3ߑuI+R f-8+Jjt;sO@OEP?QI! P 10\18M8'h`~Z4юF>h98;7v=L7qyy?GCȪ C3:4hѰ@G -8A(<1K P_1f|2O? ff$il99LXQ>>K,?Kd + EHL\`y )) ) L) )  )  )h)5)$)$(8&9D&:8&BCIFC_GCx)HD)ID)XDYDZE [E$\E,)]E)^HqbHcIkdIeIfIlIuJ )vJzJJJJKCcolord-color-profiles1.4.4150200.4.6.1Color profiles for colordcolord is a system activated daemon that maps devices to color profiles. It is used by gnome-color-manager for system integration and use when there are no users logged in. This package provides color profiles previously provided by shared-color-profilesc5pibs-power9-10]DSUSE Linux Enterprise 15SUSE LLC GPL-2.0-or-laterhttps://www.suse.com/System/Librarieshttps://github.com/hughsie/colord/linuxppc64le?7=T9D95*;4p)p;10<݈ݔܼ܄.,AtO)5xO C@4AAA큤c5pc5pc5pc5p"c5p"c5p#c5p#c5p"c5p#c5p#c5p#c5p#c5p$c5p#c5p$c5p%c5pic5pjc5puc5pc5phc5pac5pec5pc5pc5p$c5p$c5p$c5pc5p%c5p%c5p&c5p&c5p&c5pc5pc5pc5p&c5p&c5p&c5p&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-1.4.4-150200.4.6.1.src.rpmcolord-color-profilescolord-color-profiles(ppc-64)shared-color-profiles    rpmlib(CompressedFileNames)rpmlib(FileDigests)rpmlib(PayloadFilesHavePrefix)rpmlib(PayloadIsXz)3.0.4-14.6.0-14.0-15.2-14.14.1c$e@`2@^,-]]@]z@]^@\acZy@Z@ZYp@Y*@YA@YzY4XXXAXEVWW@V@VŲ@V@V @U|@U|@U@U_@U&iT\@T}TTTw@TCT=@mgorse@suse.comsuse-beta@cboltz.dedimstar@opensuse.orgrbrown@suse.combjorn.lie@gmail.comsuse-beta@cboltz.destefan.bruens@rwth-aachen.dedimstar@opensuse.orgbjorn.lie@gmail.combjorn.lie@gmail.comdimstar@opensuse.orgdimstar@opensuse.orgjengelh@inai.dezaitor@opensuse.orgzaitor@opensuse.orgluc14n0@linuxmail.orgfezhang@suse.comzaitor@opensuse.orgzaitor@opensuse.orgdimstar@opensuse.orgzaitor@opensuse.orgidonmez@suse.comdimstar@opensuse.orgfcrozat@suse.comdimstar@opensuse.orgdimstar@opensuse.orgmpluskal@suse.comzaitor@opensuse.orgzaitor@opensuse.orgzaitor@opensuse.orgzaitor@opensuse.orgdimstar@opensuse.orgdimstar@opensuse.orgfcrozat@suse.comdimstar@opensuse.orgdimstar@opensuse.orgzaitor@opensuse.orgopensuse@cboltz.de- Add colord-CVE-2021-42523.patch: fix a small memory leak in sqlite3_exec (boo#1202802 CVE-2021-42523).- allow access to /usr/local/share/color in AppArmor profile (boo#1180898)- No longer recommend -lang: supplements are in use- Add add-spyderx.patch for datacolor SpyderX supprot (will be in version 1.4.5) - Move colord-session.service and tmpfiles config from libcolord-devel to colord package- Update to version 1.4.4: + New Features: - Actually install the installed tests. - Allow building without the daemon. + Bugfixes: - Consistently search for spotread in $PATH. - Don't hard-require spotread to build argyll sensor. - Fix linker argument checks. - Include C header in Colorhug-1.0.gir. - Pass -DCD_COMPILATION to gir compiler. - Port manpages to xsltproc and DocBook 5. - Replace docbook-utils-minimal with docbook5-xsl-stylesheets BuildRequires following upstreams port. - Add _constraints file, avoid random buildfails for i586 and other arches.- Also allow /var/lib/colord/icc/ in AppArmor profile (boo#1112230)- Change BuildRequires to docbook-utils-minimal, otherwise the build pulls in half of texlive and all its dependencies. Only man pages and HTML API docs are generated, no need for PS/PDF generation.- Fix meson parameters: -Dargyllcms-sensor=true must be - Dargyllcms_sensor=true (boo#1124388).- Update to version 1.4.3: + Bugfixes: - Make cd_color_get_blackbody_rgb_full safer. - Update style of Meson build options. - Update warning about running daemon as root. - Update options passed to meson following upstream changes.- Update to version 1.4.2: + New Features: - Add cd_icc_set_created. - Add --enable-timestamps option for CREATED header. + Bugfixes: - Avoid buffer overflow when reading profile_id. - Fix the detection of duplicate EDIDs. - Make udev hwdb optional by using pnp.ids as fallback. - Raise _XOPEN_SOURCE to 700 to enable C99 on FreeBSD. - Refactor build directory selection. - Set cd-create-profile date to SOURCE_DATE_EPOCH. - Pass new option enable-udev-rules=true to meson.- Modernize spec-file by calling spec-cleaner- Collapse files section for gtk-doc.- Update package summaries. Replace old RPM shell variables.- Add ulimit -Sv 2000000 entry before build, set ~2 GiB limit so that colprof is forced to work in chunks when generating the print profile rather than trying to allocate a 3.1 GiB chunk of RAM to put the entire B-to-A tables in.- Add pkgconfig(vapigen) BuildRequires and pass enable-session-example=false, enable-bash-completion=true, enable-systemd=true, enable-libcolordcompat=true, enable-argyllcms-sensor=true, enable-reverse=false, enable-sane=false, enable-vala=true, enable-print-profiles=true, enable-tests=false, enable-installed-tests=false, with-daemon-user=colord, enable-man=true and enable-docs=true to meson, ensure we build the feature we want.- Update to version 1.4.1: + Bugfixes: - Add back the meson -Denable-systemd=false configure argument. - Add the fallback rules for older PolicyKit versions. - Define PATH_MAX if not available. - Enable C99 support in meson.build. - Include all the files in the GIR target. - Include the correct file when using Colord-1.0.gir. - Use appropriate feature macro for realpath(). - Use gio-2.0 when generating the VAPI. - Changes from version 1.4.0: + New Features: - Port to the Meson build system. - Enable Travis CI for Fedora 25 and Debian Stretch. - Make PolicyKit, gusb and udev a hard requirement. + Bugfixes: - Add some experimental tools for the HueyCOLOR sensor as found in the P70. - Conditionally build documentation and man pages. - Correctly build the ICC transfer curve for Rec709. - Do not build the examples as part of the regular build. - Do not spin the Huey LEDs when the sensor is embedded. - Do not use /tmp to create profiles. - Fix the version numbers in cd-version.h to reflect reality. - Get the Huey status before trying to unlock. - Use a different Huey unlock code on the W700 laptop. - Add meson BuildRequires, and switch autotools macros configure, make and makeinstall to meson, meson_build and meson_install following upstream switch to Meson Build System. - Add gtk-doc and docbook-utils BuildRequires: new dependencies. - Drop %glib2_gsettings_schema_* post/postun macros: the functionality is now covered by file triggers. - Drop intltool and vala BuildRequires: no longer needed. - Upstream rename of ColorHug-1.0.typelib file to Colorhug-1.0.typelib, thus its package has been renamed as well.- Apparmor profile (usr.lib.colord): Allow reading / writing /var/lib/colord/.cache (bsc#1024933).- Update to version 1.3.5: + New Features: - Add cd_color_get_blackbody_rgb_full(). - Add cd_spectrum_multiply_scalar(). + Bugfixes: Check the parsed context arguments in the session helper.- Split out color profiles in sub package colord-color-profiles. Add shared-color-profiles Obsoletes and Provides. - Replace shared-color-profiles with colord-color-profiles Requires. - Pass --enable-libcolordcompat, build compatability lib. - Pass --enable-print-profiles, build print profiles. - Pass --disable-examples, ensure we do not build examples.- Update to version 1.3.4: + New Features: - colord: . Add cd_color_rgb_from_wavelength(). . Add cd_spectrum_resample_to_size(). - colorhug: . Add commands for getting the device calibration spectra. . Increase the size of the EP0 transfer. . Support enabling the illuminants on the ColorHug+. + Bugfixes: - Fix a possible NULL dereference when talking to Spark devices. - Fix compile with -Wformat-signedness. - Fix possible division by zero if parsing /proc/cpuinfo fails.- Update to version 1.3.3: + Check polkit version before defining g_autoptr macros. + Fix an assert failure when connecting to sensors. + Fix gtk-doc build when srcdir != builddir. + Increase timeout to 60s for argyll spotread sampling. + Use the USB path to match the ArgyllCMS port. + Updated translations.- Update to GNOME 3.20 Fate#318572- Update to version 1.3.2: + New Features: - Make building the daemon optional. - Make the session helper optional. - libcolorhug: Add initial support for the v2 protocol used by ColorHug+. + Bugfixes: - libcolord: Fix a hard-to-reproduce bug when cancelling async operations. - libcolorhug: Fix a crash when submitting lots of commands at the same time. - Replace '/' with '-' on the basename to fix calibration crash.- Rely on _tmpfilesdir macro to locate the directory to use for store tmpfiles config files by using --with-tmpfilesdir to configure.- Update to version 1.3.1: + New Features: - Add a sensor error for missing dark calibration. - Add g_autoptr() defines for cd_color*. - Add get-spectral-reading command to colormgr. - Add the GetSpectrum() sensor D-Bus method. - Allow returning spectral readings from the Spark sensor. - libcolord: . Add an error enum for missing irradiance calibration. . Add a spectral sensor capability. . Add cd_sensor_get_spectrum(). . Add cd_spectrum_get_value_max(). . Add cd_spectrum_limit_min(). . Add cd_spectrum_planckian_new_full(). . Add cd_spectrum_resample(). . Add cd_spectrum_set_wavelength_cal(). . Add cd_spectrum_subtract(). . Add cd_spectrum_to_string(). + Bugfixes: - Add a systemd user service corresponding to the D-Bus session service. - Add a tmpfiles.d snippet to fix stateless systems. - data: Build Rec709 correctly. - Ignore the ColorHug+ in DFU mode. - Install the tmpfiles.d snippet in the right place. - Reset the sensor back to idle after each action. - libcolord: . Fix a tiny memory leak in cd_icc_get_tag_data(). . Fix saving non-normalized spectra. . Make cd_spectrum_free() NULL-safe. . Return an error when we fail to estimate the gamma value.- Apparmor profile (usr.lib.colord): Add capability dac_override (boo#948892).- Add gpg signature- Update to version 1.2.12: + New Features: Add a new private library libospark for future use. + Bugfixes: - Allow creating devices with the same device ID from different users. - Check for, and prefer, libsystemd over libsystemd-login. - ColorHug: . Add ch_device_queue_read_firmware(). . When converting HEX to BIN pad out the entire size. - Replace pkgconfig(libsystemd-login) for pkgconfig(libsystemd) following upstreams new preferance. Also pass - -enable-systemd-login to configure to ensure we build this support.- Update to version 1.2.11: + New Features: - Add defines and artwork for the Spyder5 device. - Add defines for the OceanOptics Spark sensor. - libcolorhug: . Add ch_device_close. . Handle low-level commands when in Sensor HID mode. + Bugfixes: - libcolord: . Fix leak in cd_context_lcms_free. . Fix leak previously in cd_client_connect_cb. - Only return devices created by the calling user when doing GetDevices.- Add explicit pkgconfig(libudev) BuildRequires: Configure checks for it.- Update to version 1.2.10: + New Features: colorhug: Add GUIDs for each device. + Bugfixes: - Add a vendor quirk for Google. - Fix session-helper failing to find argyll tool.- Update to version 1.2.9: + New Features of colorhug: - Add support for the ColorHug ALS device. - Return the exact address on verification failure. + Bugfixes: - Fix cd-test-private linking. - Fix reporting of logind errors.- Update to version 1.2.8: + Bugfixes: - Check for dbus when enabling sane. - Do not use the deprecated GUsbDeviceList. - Fix build without gobject-introspection. - Fix possible critical warning when using g_dbus_watch_name().- Update apparmor profile for USB profiling (boo#901148).- Update to version 1.2.7: + Bugfixes: - Don't build the daemon when compiling for MinGW. - Use wine to run the client build tools when compiling under MinGW.- Update to version 1.2.6: + New Features: - Allowing compile using mingw. - Make the ArgyllCMS sensor driver optional. - libcolord: . Add cd_it8_get_xyz_for_rgb(). . Add cd_it8_utils_calculate_gamma(). . Add cd_spectrum_get_resolution(). . Add cd_spectrum_get_value_raw(). . Add cd_spectrum_normalize_max(). . Add cd_spectrum_set_value(). + Bugfixes: - libcolord: . Allow a SPECTRAL_START_NM value of zero. . Always replace CCSS spectral data of the same ID. . Deep copy the spectral data when using cd_spectrum_dup(). . Fix using cd_it8_add_spectrum() where the spectra have no ID values. . Never allow duplicate CCSS IDs for small wavelength ranges. . Only set SPECTRAL_NORM when the normalisation is enabled. . Return correct values when no LUMINANCE_XYZ_CDM2 is specified. . Use double precision for SPECTRAL_START_NM and SPECTRAL_END_NM. . When using cd_it8_add_spectrum() replace any with the same ID. - Changes from version 1.2.5: + New Features: - Add a branding-less ColorHug2 figure. - Add the calculate-ccmx subcommand to cd-it8. - Install the now-useful cd-it8 helper. + Bugfixes: - Drop nb.po, its superfluous with nb_NO.po around. - Fix a crash in the session helper while calibrating. - Remove the private cd-find-broken tool. - Add argyllcms BuildRequires and Requires.- Update to version 1.2.4: + New Features: - libcolord: Add cd_mat33_init() helper function. - libcolorhug: Retry the command if the response is incomplete. + Bugfixes: - Don't enable PIE support when --without-pic is specified. - libcolord: Build with PIE enabled.- AppArmor profile: allow reading /etc/udev/hwdb.bin (bnc#901148)shared-color-profilesibs-power9-10 1664446693  !"#$%&'()1.4.4-150200.4.6.11.4.4-150200.4.6.1 0.1.6coloricccolordAdobeRGB1998.iccAppleRGB.iccBestRGB.iccBetaRGB.iccBluish.iccBruceRGB.iccCIE-RGB.iccColorMatchRGB.iccCrayons.iccDonRGB4.iccECI-RGBv1.iccECI-RGBv2.iccEktaSpacePS5.iccFOGRA27L_coated.iccFOGRA28L_webcoated.iccFOGRA29L_uncoated.iccFOGRA30L_uncoated_yellowish.iccFOGRA39L_coated.iccFOGRA40L_SC_paper.iccFOGRA45L_lwc.iccFOGRA47L_uncoated.iccGRACoL_TR006_coated.iccGamma5000K.iccGamma5500K.iccGamma6500K.iccIFRA26S_2004_newsprint.iccNTSC-RGB.iccPAL-RGB.iccProPhotoRGB.iccRec709.iccSMPTE-C-RGB.iccSNAP_TR002_newsprint.iccSWOP_TR003_coated_3.iccSWOP_TR005_coated_5.iccSwappedRedAndGreen.iccWideGamutRGB.iccsRGB.iccx11-colors.icc/usr/share//usr/share/color//usr/share/color/icc//usr/share/color/icc/colord/-fmessage-length=0 -grecord-gcc-switches -O2 -Wall -D_FORTIFY_SOURCE=2 -fstack-protector-strong -funwind-tables -fasynchronous-unwind-tables -fstack-clash-protection -gobs://build.suse.de/SUSE:Maintenance:26197/SUSE_SLE-15-SP2_Update/45dc40cf4445a340ba26296b280dc107-colord.SUSE_SLE-15-SP2_Updatedrpmxz5ppc64le-suse-linuxdirectory0Үϣ JvuGutf-8f8b9b5b5f28bceea2bebfb26882b6f596a3c9446ef7da2de0251f4e7617723fc? 7zXZ !t/ ]"k%hA(zm RcYݶ7l ȓtٟMnWoFM ڷ)-`6HJ«ubR)Kkʸǜ9˯1Id)eoޭIyBE”R:.M,~d'eiUiv?^lOgU&lv@8rb׶Cr B?EezHЅ|1hR?H! 2&rȟUߡ~AE%Wއ&eKl{hYp?v/Rה)k*MIۙc <=[6`&IA{Y'ut"][8Qi]Eƪ?ܣyI`_@4t{єm lƒO6$:lR])?_Pء?H&ޕ"R֗[4[|CRV Q4P9HK^qؗ^`#Ё,o!kduS/~{Nunlԍ %Srbmq li;ZyUc=}1륤Y\w8nD8 V|p{.M%-XhE!;zw4}xo:%jQO< tvuղX-IX &/xw {6nOHto9tˍ߲ĬoKTtrx3ˏ:!H#7 + }ЦJFv XGsPp\:Y Ih|I&ń޽iZmLV玀`O[]~&?໨# |miYLgv==y`aɑJplWj&c3 Ye`i]eqv:Qeɢ͢,y"Nq;FE-c.;5lZ2hmQ,]VAMYvA t ˗*4vJ@+qIA lS\ޖ|b$1\"t*oi8[f p{.][Fb5r;c@"TSδְҧ}'|}0+p>l=șd'{.wZlFBMH!;ﺲ H¹vlS?G}X8K+}J{>u,`lqʄXi{ /nWTC%Ue %vA *PJOƳ=1A2+g28yO~1iU,/K,8慺KZ ZDW[e|0Mut{Wx/C:¾[lQVKtRgv->N!WHbQs`_J`Tg o/=JQ_;P@.coƫy#WTͯ+1ðgov[>BIV'Ơ ypR>+ Rd΋U㇘DGCQBC%7|%ڿ.MEr<U<j1WhZ+]*+r`ÄooƜM ӷA2+$P²H -͖o. biAQ_X[0n\KD N6i{Gzc{9( ]}Ow,䂹`ѿUW=cɊқWCW]IJc*n0_'$5a˱o#v/d~iXl &򝼭`Gq#qḿ?gჶ;%s#ea(XٚM1@96^=hF9_oaD4NZN%y6sucyɠj*-;MN|Ggd#N^Qp?Irg-9y٨)S[:FhHE6T ]8\XD F#b4!  YZ