8( ,SHIFT SHIFT6mq2shift,axolotlqcom,sdm845=AI aliases!W/soc@0/geniqup@8c0000/i2c@880000!\/soc@0/geniqup@8c0000/i2c@884000!a/soc@0/geniqup@8c0000/i2c@888000!f/soc@0/geniqup@8c0000/i2c@88c000!k/soc@0/geniqup@8c0000/i2c@890000!p/soc@0/geniqup@8c0000/i2c@894000!u/soc@0/geniqup@8c0000/i2c@898000!z/soc@0/geniqup@8c0000/i2c@89c000!/soc@0/geniqup@ac0000/i2c@a80000!/soc@0/geniqup@ac0000/i2c@a84000!/soc@0/geniqup@ac0000/i2c@a88000!/soc@0/geniqup@ac0000/i2c@a8c000!/soc@0/geniqup@ac0000/i2c@a90000!/soc@0/geniqup@ac0000/i2c@a94000!/soc@0/geniqup@ac0000/i2c@a98000!/soc@0/geniqup@ac0000/i2c@a9c000!/soc@0/geniqup@8c0000/spi@880000!/soc@0/geniqup@8c0000/spi@884000!/soc@0/geniqup@8c0000/spi@888000!/soc@0/geniqup@8c0000/spi@88c000!/soc@0/geniqup@8c0000/spi@890000!/soc@0/geniqup@8c0000/spi@894000!/soc@0/geniqup@8c0000/spi@898000!/soc@0/geniqup@8c0000/spi@89c000!/soc@0/geniqup@ac0000/spi@a80000!/soc@0/geniqup@ac0000/spi@a84000!/soc@0/geniqup@ac0000/spi@a88000!/soc@0/geniqup@ac0000/spi@a8c000!/soc@0/geniqup@ac0000/spi@a90000!/soc@0/geniqup@ac0000/spi@a94000!/soc@0/geniqup@ac0000/spi@a98000!/soc@0/geniqup@ac0000/spi@a9c000/chosen/framebuffer@9d400000$ /soc@0/geniqup@ac0000/serial@a84000$/soc@0/geniqup@8c0000/serial@898000chosen #serial0framebuffer@9d4000002simple-framebuffer/@b389p@ Ga8r8g8b8clocksxo-board 2fixed-clockN[I kxo_board~sleep-clk 2fixed-clockN[~5cpus cpu@0cpu 2qcom,kryo385/pscic(psci(7~l2-cache2cacheHT7 ~l3-cache2cacheHT~ cpu@100cpu 2qcom,kryo385/pscic( psci(7 ~l2-cache2cacheHT7 ~ cpu@200cpu 2qcom,kryo385/pscic( psci(7 ~l2-cache2cacheHT7 ~ cpu@300cpu 2qcom,kryo385/pscic((psci7~l2-cache2cacheHT7 ~cpu@400cpu 2qcom,kryo385/psci(psci(7~l2-cache2cacheHT7 ~cpu@500cpu 2qcom,kryo385/psci(psci(7~l2-cache2cacheHT7 ~cpu@600cpu 2qcom,kryo385/psci(psci(7~l2-cache2cacheHT7 ~cpu@700cpu 2qcom,kryo385/psci(psci(7~ l2-cache2cacheHT7 ~cpu-mapcluster0core0bcore1bcore2bcore3bcore4bcore5bcore6bcore7b idle-statesfpscicpu-sleep-0-02arm,idle-stateslittle-rail-power-collapse@^b~'cpu-sleep-1-02arm,idle-statesbig-rail-power-collapse@m~(domain-idle-statescluster-sleep-02domain-idle-stateAD '~)firmwarescm2qcom,scm-sdm845qcom,scmmemory@80000000memory/opp-table-cpu02operating-points-v2~opp-300000000 5I>opp-403200000X 5I>opp-4800000008 5bpopp-576000000"U 5bpopp-652800000& 5u0opp-748800000,opp-82560000015opp-9024000005Ɉ`opp-979200000:]hopp-1056000000>Hopp-1132800000C(!b@opp-1228800000I>!bopp-1324800000N!b opp-1420800000T.opp-1516800000Zh.'Popp-1612800000`!`>'Popp-1689600000d@>>opp-1766400000iI >V0opp-table-cpu42operating-points-v2~opp-300000000 5I>opp-403200000X 5I>opp-4800000008I>opp-576000000"UI>opp-652800000&I>opp-748800000,I>opp-82560000015!bopp-9024000005Ɉ!bopp-979200000:]h!bopp-1056000000>H.opp-1132800000C(.opp-1209600000H>opp-1286400000L>opp-1363200000Q@>opp-1459200000V>opp-1536000000[Ropp-1612800000`!`Ropp-1689600000d@R'Popp-1766400000iI ^'Popp-1843200000m^'Popp-1920000000rpn'Popp-1996800000wn>opp-2092800000|n>opp-2169600000Qxn>opp-2246400000Xn>opp-2323200000y8n>opp-2400000000 nV0opp-2476800000nV0opp-25536000004nV0opp-2649600000nV0opp-2745600000nopp-2803200000pnopp-table-dsi2operating-points-v2~opp-19200000$!opp-180000000 "opp-275000000d*#opp-328580000$opp-358000000V%opp-table-qspi2operating-points-v2~opp-19200000$!opp-100000000"opp-150000000р#opp-300000000%opp-table-qup2operating-points-v2~=opp-50000000!opp-75000000xh"opp-100000000#opp-128000000 %pmu2arm,armv8-pmuv3  psci 2arm,psci-1.0smcpower-domain-cpu0&*'~power-domain-cpu1&*'~ power-domain-cpu2&*'~ power-domain-cpu3&*'~power-domain-cpu4&*(~power-domain-cpu5&*(~power-domain-cpu6&*(~power-domain-cpu7&*(~power-domain-cluster*)~&reserved-memory hyp-mem@85700000/p`=xbl-mem@85e00000/=aop-mem@85fc0000/=aop-cmd-db-mem@85fe0000 2qcom,cmd-db/=smem@86000000 2qcom,smem/ =D*tz@86200000/ =rmtfs@88f000002qcom,rmtfs-mem/ =L[qseecom@8ab00000/@=camera-mem@8bf00000/P=ipa-fw@8c400000/@=~ipa-gsi@8c410000/AP=gpu@8c415000/AP =~adsp@8c500000/P=~-wlan-msa@8df00000/=~mpss@8e000000/=~venus@95800000/P=~cdsp@95d00000/=~3mba@96500000/P =~slpi@96700000/p@=~spss@97b00000/=mpss-metadatae r@=~fastrpc2shared-dma-poolew@r~framebuffer_region@9d400000/@b=ramoops@b00000002ramoops/@ remoteproc-adsp2qcom,sdm845-adsp-pas@++++#wdogfatalreadyhandoverstop-ack,xo-. /stop0okay7qcom/sdm845/axolotl/adsp.mbnglink-edge  ElpassK[0apr 2qcom,apr-v2bapr_audio_svcv service@3/ 2qcom,q6coreavs/audiomsm/adsp/audio_pdservice@4 2qcom,q6afe/avs/audiomsm/adsp/audio_pddais2qcom,q6afe-dais service@7 2qcom,q6asm/avs/audiomsm/adsp/audio_pddais2qcom,q6asm-dais  1!service@8 2qcom,q6adm/avs/audiomsm/adsp/audio_pdrouting2qcom,q6adm-routingfastrpc 2qcom,fastrpcbfastrpcglink-apps-dspEadsp compute-cb@32qcom,fastrpc-compute-cb/ 1#compute-cb@42qcom,fastrpc-compute-cb/ 1$remoteproc-cdsp2qcom,sdm845-cdsp-pas@B2222#wdogfatalreadyhandoverstop-ack,xo3. 4stop0okay7qcom/sdm845/axolotl/cdsp.mbnglink-edge  >EturingK[0fastrpc 2qcom,fastrpcbfastrpcglink-apps-dspEcdsp compute-cb@12qcom,fastrpc-compute-cb/ 10compute-cb@22qcom,fastrpc-compute-cb/ 10compute-cb@32qcom,fastrpc-compute-cb/ 10compute-cb@42qcom,fastrpc-compute-cb/ 10compute-cb@52qcom,fastrpc-compute-cb/ 10compute-cb@62qcom,fastrpc-compute-cb/ 10compute-cb@72qcom,fastrpc-compute-cb/ 10compute-cb@82qcom,fastrpc-compute-cb/ 10smp2p-cdsp 2qcom,smp2p^  @[0Kmaster-kernelmaster-kernel~4slave-kernel slave-kernel*~2smp2p-lpass 2qcom,smp2p  [0 Kmaster-kernelmaster-kernel~/slave-kernel slave-kernel*~+smp2p-mpss 2qcom,smp2p  [0Kmaster-kernelmaster-kernel~slave-kernel slave-kernel*~ipa-ap-to-modemipa~ipa-modem-to-apipa*~smp2p-slpi 2qcom,smp2p  [0Kmaster-kernelmaster-kernel~slave-kernel slave-kernel*~soc@0 ; 2simple-busclock-controller@1000002qcom,gcc-sdm845/,,567=bi_tcxobi_tcxo_aosleep_clkpcie_0_pipe_clkpcie_1_pipe_clkNF8S~9qfprom@7840002qcom,sdm845-qfpromqcom,qfprom/x@ hstx-trim-primary@1eb/d~hstx-trim-secondary@1eb/d~rng@793000 2qcom,prng-ee/y09@coredma-controller@800000i2qcom,sdm845-gpi-dma/ t  1 0disabled~>geniqup@8c00002qcom,geni-se-qup/` m-ahbs-ahb9d9e 1 : ; qup-core0okayi2c@8800002qcom,geni-i2c/@se9Ddefault<  Y 8=H: ;;: qup-corequp-configqup-memory >>txrx 0disabledspi@8800002qcom,geni-spi/@se9Ddefault?  Y 0: ;;qup-corequp-config >>txrx 0disabledserial@8800002qcom,geni-uart/@se9Ddefault@  Y8=0: ;;qup-corequp-config 0disabledi2c@8840002qcom,geni-i2c/@@se9FdefaultA  Z 8=H: ;;: qup-corequp-configqup-memory >>txrx 0disabledspi@8840002qcom,geni-spi/@@se9FdefaultB  Z 0: ;;qup-corequp-config >>txrx 0disabledserial@8840002qcom,geni-uart/@@se9FdefaultC  Z8=0: ;;qup-corequp-config 0disabledi2c@8880002qcom,geni-i2c/@se9HdefaultD  [ 8=H: ;;: qup-corequp-configqup-memory >>txrx 0disabledspi@8880002qcom,geni-spi/@se9HdefaultE  [ 0: ;;qup-corequp-config >>txrx 0disabledserial@8880002qcom,geni-uart/@se9HdefaultF  [8=0: ;;qup-corequp-config 0disabledi2c@88c0002qcom,geni-i2c/@se9JdefaultG  \ 8=H: ;;: qup-corequp-configqup-memory >>txrx 0disabledspi@88c0002qcom,geni-spi/@se9JdefaultH  \ 0: ;;qup-corequp-config >>txrx 0disabledserial@88c0002qcom,geni-uart/@se9JdefaultI  \8=0: ;;qup-corequp-config 0disabledi2c@8900002qcom,geni-i2c/@se9LdefaultJ  ] 8=H: ;;: qup-corequp-configqup-memory >>txrx 0disabledspi@8900002qcom,geni-spi/@se9LdefaultK  ] 0: ;;qup-corequp-config >>txrx 0disabledserial@8900002qcom,geni-uart/@se9LdefaultL  ]8=0: ;;qup-corequp-config 0disabledi2c@8940002qcom,geni-i2c/@@se9NdefaultM  ^ 8=H: ;;: qup-corequp-configqup-memory >>txrx0okaytouchscreen@382focaltech,fts8719/8N }OPdefaultsuspendQRST Nc  N}8%p8spi@8940002qcom,geni-spi/@@se9NdefaultU  ^ 0: ;;qup-corequp-config >>txrx 0disabledserial@8940002qcom,geni-uart/@@se9NdefaultV  ^8=0: ;;qup-corequp-config 0disabledi2c@8980002qcom,geni-i2c/@se9PdefaultW  _ 8=H: ;;: qup-corequp-configqup-memory >>txrx 0disabledspi@8980002qcom,geni-spi/@se9PdefaultX  _ 0: ;;qup-corequp-config >>txrx 0disabledserial@8980002qcom,geni-uart/@se9PdefaultY  _8=0: ;;qup-corequp-config0okaybluetooth2qcom,wcn3990-bt7axolotl/crnv21.binSZ`[m\z]0i2c@89c0002qcom,geni-i2c/@se9Rdefault^  ` 8= 0disabledspi@89c0002qcom,geni-spi/@se9Rdefault_  ` 0: ;;qup-corequp-config >>txrx 0disabledserial@89c0002qcom,geni-uart/@se9Rdefault`  `8=0: ;;qup-corequp-config 0disableddma-controller@a00000i2qcom,sdm845-gpi-dma/ %&'()*+t  1 0disabled~cgeniqup@ac00002qcom,geni-se-qup/` m-ahbs-ahb9f9g 1 a ; qup-core0okayi2c@a800002qcom,geni-i2c/@se9Tdefaultb  a 8=Ha ;;a qup-corequp-configqup-memory cctxrx 0disabledspi@a800002qcom,geni-spi/@se9Tdefaultd  a 0a ;;qup-corequp-config cctxrx 0disabledserial@a800002qcom,geni-uart/@se9Tdefaulte  a8=0a ;;qup-corequp-config 0disabledi2c@a840002qcom,geni-i2c/@@se9Vdefaultf  b 8=Ha ;;a qup-corequp-configqup-memory cctxrx 0disabledspi@a840002qcom,geni-spi/@@se9Vdefaultg  b 0a ;;qup-corequp-config cctxrx 0disabledserial@a840002qcom,geni-debug-uart/@@se9Vdefaulth  b8=0a ;;qup-corequp-config0okayi2c@a880002qcom,geni-i2c/@se9Xdefaulti  c 8=Ha ;;a qup-corequp-configqup-memory cctxrx 0disabledspi@a880002qcom,geni-spi/@se9Xdefaultj  c 0a ;;qup-corequp-config cctxrx 0disabledserial@a880002qcom,geni-uart/@se9Xdefaultk  c8=0a ;;qup-corequp-config 0disabledi2c@a8c0002qcom,geni-i2c/@se9Zdefaultl  d 8=Ha ;;a qup-corequp-configqup-memory cctxrx 0disabledspi@a8c0002qcom,geni-spi/@se9Zdefaultm  d 0a ;;qup-corequp-config cctxrx 0disabledserial@a8c0002qcom,geni-uart/@se9Zdefaultn  d8=0a ;;qup-corequp-config 0disabledi2c@a900002qcom,geni-i2c/@se9\defaulto  e 8=Ha ;;a qup-corequp-configqup-memory cctxrx 0disabledspi@a900002qcom,geni-spi/@se9\defaultp  e 0a ;;qup-corequp-config cctxrx 0disabledserial@a900002qcom,geni-uart/@se9\defaultq  e8=0a ;;qup-corequp-config 0disabledi2c@a940002qcom,geni-i2c/@@se9^defaultr  f 8=Ha ;;a qup-corequp-configqup-memory cctxrx 0disabledspi@a940002qcom,geni-spi/@@se9^defaults  f 0a ;;qup-corequp-config cctxrx 0disabledserial@a940002qcom,geni-uart/@@se9^defaultt  f8=0a ;;qup-corequp-config 0disabledi2c@a980002qcom,geni-i2c/@se9`defaultu  g 8=Ha ;;a qup-corequp-configqup-memory cctxrx 0disabledspi@a980002qcom,geni-spi/@se9`defaultv  g 0a ;;qup-corequp-config cctxrx 0disabledserial@a980002qcom,geni-uart/@se9`defaultw  g8=0a ;;qup-corequp-config 0disabledi2c@a9c0002qcom,geni-i2c/@se9bdefaultx  h 8= 0disabledHa ;;a qup-corequp-configqup-memory cctxrxspi@a9c0002qcom,geni-spi/@se9bdefaulty  h 0a ;;qup-corequp-config cctxrx 0disabledserial@a9c0002qcom,geni-uart/@se9bdefaultz  h8=0a ;;qup-corequp-config 0disabledsystem-cache-controller@11000002qcom,sdm845-llccP/P (0@llcc0_basellcc1_basellcc2_basellcc3_basellcc_broadcast_base  Fdma@10a20002qcom,sdm845-dccqcom,dcc /  pmu@114a0002qcom,sdm845-llcc-bwmon/  D {opp-table2operating-points-v2~{opp-0 5opp-1opp-2.opp-3Ropp-4npmu@1436400(2qcom,sdm845-cpu-bwmonqcom,sdm845-bwmon/Cd  E |opp-table2operating-points-v2~|opp-0I>opp-1opp-2opp-3>opp-4pci@1c000002qcom,pcie-sdm845P/ `` `pparfdbielbiconfigmhipci 8` `0`0  msi*89.9)9+9-9/9090pipeauxcfgbus_masterbus_slaveslave_q2atbu111111111 1 1 1 1 1119pci96pciephy 0disabledphy@1c060002qcom,sdm845-qmp-pcie-phy/`  999+9,9:auxcfg_ahbrefrefgen9phy9: 0disabledphy@1c06200@/b(dhfp9.pipe0N3kpcie_0_pipe_clk~6pci@1c080002qcom,pcie-sdm845P/ @@ @parfdbielbiconfigmhipci 8@ @0@0  3msi*@9691939597989494pipeauxcfgbus_masterbus_slaveslave_q2areftbu91$111111111 1  1  1  1  1 119pci97pciephy 0disabledphy@1c0a0002qcom,sdm845-qhp-pcie-phy/  9993949:auxcfg_ahbrefrefgen9phy9: 0disabledphy@1c062000/96pipe0N3kpcie_1_pipe_clk~7interconnect@13800002qcom,sdm845-mem-noc/8r>R}~interconnect@14e00002qcom,sdm845-dc-noc/N>R}interconnect@15000002qcom,sdm845-config-noc/PP>R}~;interconnect@16200002qcom,sdm845-system-noc/b>R}~interconnect@16e00002qcom,sdm845-aggre1-noc/nP>R}~:interconnect@17000002qcom,sdm845-aggre2-noc/p>R}~ainterconnect@17400002qcom,sdm845-mmss-noc/t>R}~ufshc@1d84000+2qcom,sdm845-ufshcqcom,ufshcjedec,ufs-2.0 /@%stdice   ~ufsphyb9F9rst 1{core_clkbus_aggr_clkiface_clkcore_clk_uniproref_clktx_lane0_sync_clkrx_lane0_sync_clkrx_lane1_sync_clkice_core_clkH9999,9999Hv <4`рxh0:;(ufs-ddrcpu-ufs0okay N '~phy@1d870002qcom,sdm845-qmp-ufs-phy/p  refref_aux99ufsphy0okayphy@1d87400P/tv|xz3~~dma-controller@1dc4000 2qcom,bam-v1.7.4qcom,bam-v1.7.0/@@  ,bam_clki01111~crypto@1dfa0002qcom,crypto-v5.4/ߠ`9 9 ,ifacebuscorerxtx01111ipa@1e400002qcom,sdm845-ipa1 1"0/pp @ipa-regipa-sharedgsi87(ipagsiipa-clock-queryipa-setup-ready, coreHaa ;memoryimemconfig *ipa-clock-enabled-validipa-clock-enabled0okayself 7qcom/sdm845/axolotl/ipa_fws.mbnhwlock@1f400002qcom,tcsr-mutex/~*syscon@1f600002qcom,sdm845-tcsrsyscon/~pinctrl@34000002qcom,sdm845-pinctrl/@   *'N3AQ~Ncci0-default-stateVgpio17gpio18[cci_i2cdq~cci0-sleep-stateVgpio17gpio18[cci_i2cq~cci1-default-stateVgpio19gpio20[cci_i2cdq~cci1-sleep-stateVgpio19gpio20[cci_i2cq~qspi-clk-stateVgpio95 [qspi_clkqspi-cs0-stateVgpio90[qspi_csqspi-cs1-stateVgpio89[qspi_csqspi-data0-stateVgpio91 [qspi_dataqspi-data1-stateVgpio92 [qspi_dataqspi-data23-stateVgpio93gpio94 [qspi_dataqup-i2c0-default-state Vgpio0gpio1[qup0~<qup-i2c1-default-stateVgpio17gpio18[qup1~Aqup-i2c2-default-stateVgpio27gpio28[qup2~Dqup-i2c3-default-stateVgpio41gpio42[qup3~Gqup-i2c4-default-stateVgpio89gpio90[qup4~Jqup-i2c5-default-stateVgpio85gpio86[qup5~Mqup-i2c6-default-stateVgpio45gpio46[qup6~Wqup-i2c7-default-stateVgpio93gpio94[qup7~^qup-i2c8-default-stateVgpio65gpio66[qup8~bqup-i2c9-default-state Vgpio6gpio7[qup9~fqup-i2c10-default-stateVgpio55gpio56[qup10~iqup-i2c11-default-stateVgpio31gpio32[qup11~lqup-i2c12-default-stateVgpio49gpio50[qup12~oqup-i2c13-default-stateVgpio105gpio106[qup13~rqup-i2c14-default-stateVgpio33gpio34[qup14~uqup-i2c15-default-stateVgpio81gpio82[qup15~xqup-spi0-default-stateVgpio0gpio1gpio2gpio3[qup0~?qup-spi1-default-stateVgpio17gpio18gpio19gpio20[qup1~Bqup-spi2-default-stateVgpio27gpio28gpio29gpio30[qup2~Equp-spi3-default-stateVgpio41gpio42gpio43gpio44[qup3~Hqup-spi4-default-stateVgpio89gpio90gpio91gpio92[qup4~Kqup-spi5-default-stateVgpio85gpio86gpio87gpio88[qup5~Uqup-spi6-default-stateVgpio45gpio46gpio47gpio48[qup6~Xqup-spi7-default-stateVgpio93gpio94gpio95gpio96[qup7~_qup-spi8-default-stateVgpio65gpio66gpio67gpio68[qup8~dqup-spi9-default-stateVgpio6gpio7gpio4gpio5[qup9~gqup-spi10-default-stateVgpio55gpio56gpio53gpio54[qup10~jqup-spi11-default-stateVgpio31gpio32gpio33gpio34[qup11~mqup-spi12-default-stateVgpio49gpio50gpio51gpio52[qup12~pqup-spi13-default-state Vgpio105gpio106gpio107gpio108[qup13~squp-spi14-default-stateVgpio33gpio34gpio31gpio32[qup14~vqup-spi15-default-stateVgpio81gpio82gpio83gpio84[qup15~yqup-uart0-default-state~@tx-pinsVgpio2[qup0rx-pinsVgpio3[qup0qup-uart1-default-state~Ctx-pinsVgpio19[qup1rx-pinsVgpio20[qup1qup-uart2-default-state~Ftx-pinsVgpio29[qup2rx-pinsVgpio30[qup2qup-uart3-default-state~Itx-pinsVgpio43[qup3rx-pinsVgpio44[qup3qup-uart3-4pin-statects-pinsVgpio41[qup3rts-tx-pinsVgpio42gpio43[qup3rx-pinsVgpio44[qup3qup-uart4-default-state~Ltx-pinsVgpio91[qup4rx-pinsVgpio92[qup4qup-uart5-default-state~Vtx-pinsVgpio87[qup5rx-pinsVgpio88[qup5qup-uart6-default-statetx-pinsVgpio47[qup6rx-pinsVgpio48[qup6qup-uart6-4pin-state~Ycts-pinsVgpio45[qup6rts-tx-pinsVgpio46gpio47[qup6qrx-pinsVgpio48[qup6dqup-uart7-default-state~`tx-pinsVgpio95[qup7rx-pinsVgpio96[qup7qup-uart8-default-state~etx-pinsVgpio67[qup8rx-pinsVgpio68[qup8qup-uart9-default-state~htx-pinsVgpio4[qup9qrx-pinsVgpio5[qup9qdqup-uart10-default-state~ktx-pinsVgpio53[qup10rx-pinsVgpio54[qup10qup-uart11-default-state~ntx-pinsVgpio33[qup11rx-pinsVgpio34[qup11qup-uart12-default-state~qtx-pinsVgpio51[qup0rx-pinsVgpio52[qup0qup-uart13-default-state~ttx-pinsVgpio107[qup13rx-pinsVgpio108[qup13qup-uart14-default-state~wtx-pinsVgpio31[qup14rx-pinsVgpio32[qup14qup-uart15-default-state~ztx-pinsVgpio83[qup15rx-pinsVgpio84[qup15quat-mi2s-sleep-stateVgpio58gpio59[gpioqquat-mi2s-active-stateVgpio58gpio59 [qua_mi2sqquat-mi2s-sd0-sleep-stateVgpio60[gpioqquat-mi2s-sd0-active-stateVgpio60 [qua_mi2sqquat-mi2s-sd1-sleep-stateVgpio61[gpioqquat-mi2s-sd1-active-stateVgpio61 [qua_mi2sqquat-mi2s-sd2-sleep-stateVgpio62[gpioqquat-mi2s-sd2-active-stateVgpio62 [qua_mi2sqquat-mi2s-sd3-sleep-stateVgpio63[gpioqquat-mi2s-sd3-active-stateVgpio63 [qua_mi2sqsde-dsi-active-state Vgpio6gpio11[gpioq~sde-dsi-suspend-state Vgpio6gpio11[gpioq~sde-te-active-stateVgpio10 [mdp_vsyncq~sde-te-suspend-stateVgpio10 [mdp_vsyncq~ts-int-active-stateVgpio125[gpioqd~Qts-int-suspend-stateVgpio125[gpioq~Sts-reset-active-stateVgpio99[gpioqd~Rts-reset-suspend-stateVgpio99[gpioq~Tremoteproc@40800002qcom,sdm845-mss-pil /H qdsp6rmbL 0wdogfatalreadyhandoverstop-ackshutdown-ack@9$9'99%9(9&9@,2ifacebusmemgpll0_msssnoc_aximnoc_axiprngxo. stop mss_restartpdc_reset0P@888 cxmxmss0okay:7qcom/sdm845/axolotl/mba.mbnqcom/sdm845/axolotl/modem.mbnmbampssmetadataglink-edge  EmodemK[0 clock-controller@50900002qcom,sdm845-gpucc/ NF,99 8bi_tcxogcc_gpu_gpll0_clk_srcgcc_gpu_gpll0_div_clk_src~remoteproc@5c000002qcom,sdm845-slpi-pas/@@#wdogfatalreadyhandoverstop-ack,xo.88lcxlmx stop0okay7qcom/sdm845/axolotl/slpi.mbnglink-edge  EdspsK[0fastrpc 2qcom,fastrpcbfastrpcglink-apps-dspEsdsp compute-cb@02qcom,fastrpc-compute-cb/stm@6002000 2arm,coresight-stmarm,primecell / (stm-basestm-stimulus-base. apb_pclkout-portsportendpoint~funnel@6041000+2arm,coresight-dynamic-funnelarm,primecell/. apb_pclkout-portsportendpoint~in-ports port@7/endpoint~funnel@6043000+2arm,coresight-dynamic-funnelarm,primecell/0. apb_pclkout-portsportendpoint~in-ports port@5/endpoint~funnel@6045000+2arm,coresight-dynamic-funnelarm,primecell/P. apb_pclkout-portsportendpoint~in-ports port@0/endpoint~port@2/endpoint~replicator@6046000/2arm,coresight-dynamic-replicatorarm,primecell/`. apb_pclkout-portsportendpoint~in-portsportendpoint~etf@6047000 2arm,coresight-tmcarm,primecell/p. apb_pclkout-portsportendpoint~in-ports port@1/endpoint~etr@6048000 2arm,coresight-tmcarm,primecell/. apb_pclkin-portsportendpoint~etm@7040000"2arm,coresight-etm4xarm,primecell/b. apb_pclkout-portsportendpoint~etm@7140000"2arm,coresight-etm4xarm,primecell/b. apb_pclkout-portsportendpoint~etm@7240000"2arm,coresight-etm4xarm,primecell/$b. apb_pclkout-portsportendpoint~etm@7340000"2arm,coresight-etm4xarm,primecell/4b. apb_pclkout-portsportendpoint~etm@7440000"2arm,coresight-etm4xarm,primecell/Db. apb_pclkout-portsportendpoint~etm@7540000"2arm,coresight-etm4xarm,primecell/Tb. apb_pclkout-portsportendpoint~etm@7640000"2arm,coresight-etm4xarm,primecell/db. apb_pclkout-portsportendpoint~etm@7740000"2arm,coresight-etm4xarm,primecell/tb . apb_pclkout-portsportendpoint~funnel@7800000+2arm,coresight-dynamic-funnelarm,primecell/. apb_pclkout-portsportendpoint~in-ports port@0/endpoint~port@1/endpoint~port@2/endpoint~port@3/endpoint~port@4/endpoint~port@5/endpoint~port@6/endpoint~port@7/endpoint~funnel@7810000+2arm,coresight-dynamic-funnelarm,primecell/. apb_pclkout-portsportendpoint~in-portsportendpoint~mmc@8804000$2qcom,sdm845-sdhciqcom,sdhci-msm-v5/@ hc_irqpwr_irq9h9i,ifacecorexo 18 0disabledopp-table2operating-points-v2~opp-9600000|!opp-19200000$"opp-100000000#opp-201500000 `$spi@88df0002qcom,sdm845-qspiqcom,qspi-v1/ 1`   R99 ifacecore8 0disabledslim-ngd@171c00002qcom,slim-ngd-v2.1.0/  rxtx 1  0disabledlmh@17d708002qcom,sdm845-lmh/  !   .q$ Ms*~lmh@17d788002qcom,sdm845-lmh/׈     .q$ Ms*~phy@88e2000(2qcom,sdm845-qusb2-phyqcom,qusb2-v2-phy/ 0okay39, cfg_ahbref9 m y~phy@88e3000(2qcom,sdm845-qusb2-phyqcom,qusb2-v2-phy/0 0disabled39, cfg_ahbref9  m~phy@88e90002qcom,sdm845-qmp-usb3-dp-phy0/8@0okay  9999auxcfg_ahbrefcom_aux99 phycommonusb3-phy@88e9200`/((N39pipe0kusb3_phy_pipe_clk_src~dp-phy@88ea200P/N3~phy@88eb0002qcom,sdm845-qmp-usb3-uni-phy/ 0disabled  9999auxcfg_ahbrefcom_aux99 phycommonphy@88eb200@/(pN39pipe0kusb3_uni_phy_pipe_clk_src~usb@a6f88002qcom,sdm845-dwc3qcom,dwc3/ o0okay ;(9 9999#cfg_noccoreifacesleepmock_utmi99$р0 2hs_phy_irqss_phy_irqdm_hs_phy_irqdp_hs_phy_irq990a;)usb-ddrapps-usbusb@a600000 2snps,dwc3/ `   1@  usb2-phyusb3-phy peripheralusb@a8f88002qcom,sdm845-dwc3qcom,dwc3/  0disabled ;(9 9999#cfg_noccoreifacesleepmock_utmi99$р0 2hs_phy_irqss_phy_irqdm_hs_phy_irqdp_hs_phy_irq990a;*usb-ddrapps-usbusb@a800000 2snps,dwc3/    1`  usb2-phyusb3-phyvideo-codec@aa000002qcom,sdm845-venus-v2/    8venusvcodec0vcodec1cx8  Acoreifacebusvcodec0_corevcodec0_busvcodec1_corevcodec1_bus110;+video-memcpu-cfg0okay7qcom/sdm845/axolotl/venus.mbnvideo-core02venus-decodervideo-core12venus-encoderopp-table2operating-points-v2~opp-100000000!opp-200000000 "opp-320000000#opp-380000000W$opp-444000000v%opp-533000097clock-controller@ab000002qcom,sdm845-videocc/ ,bi_tcxoNF~camss@acb30002qcom,sdm845-camss/ 0 ˠ ̀ P ` p ƀ @ `@ @@Ecsid0csid1csid2csiphy0csiphy1csiphy2csiphy3vfe0vfe1vfe_litex Ecsid0csid1csid2csiphy0csiphy1csiphy2csiphy3vfe0vfe1vfe_lite  %&,-23  99RS!"$#()+*/10camnoc_axicpas_ahbcphy_rx_srccsi0csi0_srccsi1csi1_srccsi2csi2_srccsiphy0csiphy0_timercsiphy0_timer_srccsiphy1csiphy1_timercsiphy1_timer_srccsiphy2csiphy2_timercsiphy2_timer_srccsiphy3csiphy3_timercsiphy3_timer_srcgcc_camera_ahbgcc_camera_axislow_ahb_srcsoc_ahbvfe0_axivfe0vfe0_cphy_rxvfe0_srcvfe1_axivfe1vfe1_cphy_rxvfe1_srcvfe_litevfe_lite_cphy_rxvfe_lite_src0111 1  0disabledports port@0/port@1/port@2/port@3/cci@ac4a000!2qcom,sdm845-cciqcom,msm8996-cci / Ġ@  0SR 5camnoc_axisoc_ahbslow_ahb_srccpas_ahbccicci_srcĴ<4`defaultsleep 0disabledi2c-bus@0/[B@ i2c-bus@1/[B@ clock-controller@ad000002qcom,sdm845-camcc/ NF,bi_tcxo~display-subsystem@ae000002qcom,sdm845-mdss/ mdss  ifacecore  S*0mdp0-memmdp1-mem11 0okay ~display-controller@ae010002qcom,sdm845-dpu /   mdpvbif(9 gcc-busifacebuscorevsync$8 ports port@0/endpoint~port@1/endpoint~port@2/endpoint~opp-table2operating-points-v2~opp-19200000$!opp-171428571 7"opp-344000000$opp-430000000G%displayport-controller@ae90000 0disabled2qcom,sdm845-dpP/      ( "%;core_ifacecore_auxctrl_linkctrl_link_ifacestream_pixel!& dp8ports port@0/endpoint~port@1/endpointopp-table2operating-points-v2~opp-162000000 "opp-270000000߀#opp-540000000 /$opp-8100000000G%dsi@ae94000(2qcom,sdm845-dsi-ctrlqcom,mdss-dsi-ctrl/ @ dsi_ctrl 0$bytebyte_intfpixelcoreifacebus 80okay  ports port@0/endpoint~port@1/endpoint ~panel@02visionox,rm69299-shift0okay/ P O  Ndefaultsleepportendpoint~phy@ae944002qcom,dsi-phy-10nm0/ D F Jdsi_phydsi_phy_lanedsi_pllN3, ifaceref0okay ~dsi@ae96000(2qcom,sdm845-dsi-ctrlqcom,mdss-dsi-ctrl/ ` dsi_ctrl 0 $bytebyte_intfpixelcoreifacebus 8 0disabled ports port@0/endpoint~port@1/endpointphy@ae964002qcom,dsi-phy-10nm0/ d f jdsi_phydsi_phy_lanedsi_pllN3, ifaceref 0disabled~gpu@50000002qcom,adreno-630.2qcom,adreno / kgsl_3d0_reg_memorycx_mem  , gfx-mem0okayopp-table2operating-points-v2~opp-710000000*Q nopp-675000000(; nopp-596000000#= @^opp-520000000 ^opp-414000000# >opp-342000000b )opp-257000000Q@ @%zap-shader!7qcom/sdm845/axolotl/a630_zap.mbniommu@504000022qcom,sdm845-smmu-v2qcom,adreno-smmuqcom,smmu-v2/ " /x lmnopqrs9!9 busiface~gmu@506a000&2qcom,adreno-gmu-630.2qcom,adreno-gmu0/ ( Hgmugmu_pdcgmu_pdc_seq 01hfigmu 99!gmucxoaximemnoccxgx0okay~opp-table2operating-points-v2~opp-400000000ׄ opp-200000000  0clock-controller@af000002qcom,sdm845-dispcc/ H,99bi_tcxogcc_disp_gpll0_clk_srcgcc_disp_gpll0_div_clk_srcdsi0_phy_pll_out_byteclkdsi0_phy_pll_out_dsiclkdsi1_phy_pll_out_byteclkdsi1_phy_pll_out_dsiclkdp_link_clk_divsel_tendp_vco_divided_clk_src_muxNF~interrupt-controller@b2200002qcom,sdm845-pdcqcom,pdc/ "$ B^^asv*~reset-controller@b2e00002qcom,sdm845-pdc-global/ .F~thermal-sensor@c263000 2qcom,sdm845-tsensqcom,tsens-v2 / &0 "  R  uplowcritical `~thermal-sensor@c265000 2qcom,sdm845-tsensqcom,tsens-v2 / &P "0 R uplowcritical `~reset-controller@c2a00002qcom,sdm845-aoss-cc/ *F~power-management@c300000#2qcom,sdm845-aoss-qmpqcom,aoss-qmp/ 0  [0N~.cx(ebi(sram@c3f00002qcom,sdm845-rpmh-stats/ ?spmi@c4400002qcom,spmi-pmic-arbP/ D ``p @`corechnlsobsrvrintrcnfg periph_irq   v *pmic@02qcom,pm8998qcom,spmi-pmic/ pon@8002qcom,pm8998-pon/  pwrkey2qcom,pm8941-pwrkey  = d tresin2qcom,pm8941-resin  = d0okay rtemp-alarm@24002qcom,spmi-temp-alarm/$ $  thermal `~charger@2800*2qcom,pm8998-coincellqcom,pm8941-coincell/( 0disabledadc@31002qcom,spmi-adc-rev2/1 1  ~channel@6/ Edie_tempadc-tm@34002qcom,spmi-adc-tm-hc/4 4 `  0disabledrtc@60002qcom,pm8941-rtc/`a rtcalarm agpio@c000 2qcom,pm8998-gpioqcom,spmi-gpio/ '*~pm8998-gpio6-state~pinconfVgpio6[normal d pmic@12qcom,pm8998qcom,spmi-pmic/ pmic@22qcom,pmi8998qcom,spmi-pmic/ charger@10002qcom,pmi8998-charger/@ -usb-pluginbat-ovwdog-barkusbin-icl-change  usbin_iusbin_v0okay gpio@c000!2qcom,pmi8998-gpioqcom,spmi-gpio/ '*~adc@45002qcom,pmi8998-rradc/E ~pmic@32qcom,pmi8998qcom,spmi-pmic/ labibb2qcom,pmi8998-lab-ibbibb   sc-errocplab   sc-errocppwm2qcom,pmi8998-lpg  0okaymulti-led " [status led@3/ "led@4/ "led@5/ "led-controller@d300+2qcom,pmi8998-flash-ledqcom,spmi-flash-led/0okayled-0[flash " ( 4 E Xled-1[flash " ( 4 E Xleds@d8002qcom,pmi8998-wled/   ovpshort Ebacklight 0disabledsram@146bf000#2qcom,sdm845-imemsysconsimple-mfd/k kpil-reloc@94c2qcom,pil-reloc-info/ Liommu@15000000!2qcom,sdm845-smmu-500arm,mmu-500/ " /  A`abcdefghijklmnopqrstuv;<=>?@ABCDEFGHIJKLMNOPQRSTUVW~1clock-controller@170140002qcom,sdm845-lpasscc /@0 ccqdsp6ssN 0disabledinterconnect@179000002qcom,sdm845-gladiator-noc/Ѐ>R}~watchdog@17980000#2qcom,apss-wdt-sdm845qcom,kpss-wdt/5  mailbox@179900002qcom,sdm845-apss-shared/ m~0rsc@179c0000 Eapps_rsc2qcom,rpmh-rsc0/drv-0drv-1drv-2$  y   &bcm-voter2qcom,bcm-voter~}clock-controller2qcom,sdm845-rpmh-clkNxo~,power-controller2qcom,sdm845-rpmhpd~8opp-table2operating-points-v2~opp1 opp2 0~!opp3 @~"opp4 ~#opp5 ~$opp6 ~%opp7 @opp8 Popp9 ~opp10 regulators-02qcom,pm8998-rpmh-regulators a         " 0 ? N ] l ~         - @ S bZsmps2 u smps3 u@ @~smps5 u   ~smps7 u  ~ldo1 u m m ~ldo2 uO O  ldo3 uB@ B@ ldo5 u 5 5 ~ldo6 uR R ldo7 uw@ w@ ~[ldo8 uO   ldo9 u@ , ldo10 u@ , ldo11 uB@  ldo12 uw@ w@ ~ldo13 uw@ -* ldo14 uw@ w@ ~Pldo15 uw@ w@ ldo16 u)B )B ldo17 u  ~\ldo18 u)B -* ldo19 u+@ /] ldo20 u)B -* ~ldo21 u)B -* ldo22 u+ 2 ldo23 u- 2 ~ldo24 u/ / ~ldo25 u2Z 2 ~]ldo26 uO O ~ldo28 u+@ - ~Olvs1 uw@ w@lvs2 uw@ w@regulators-12qcom,pmi8998-rpmh-regulators b bob u2 6  ~regulators-22qcom,pm8005-rpmh-regulators c    smps3 u ' 'interrupt-controller@17a00000 2arm,gic-v3 * /   ~msi-controller@17a400002arm,gic-v3-its / 0disableddma-controller@17184000 2qcom,bam-v1.7.4qcom,bam-v1.7.0/@  i 1~timer@17c90000  2arm,armv7-timer-mem/frame@17ca0000* /frame@17cc0000*  / 0disabledframe@17cd0000*  / 0disabledframe@17ce0000*  / 0disabledframe@17cf0000*  / 0disabledframe@17d00000*  / 0disabledframe@17d10000*  / 0disabledinterconnect@17d410002qcom,sdm845-osm-l3qcom,osm-l3/,9 xoalternate>~cpufreq@17d43000'2qcom,sdm845-cpufreq-hwqcom,cpufreq-hw /0Xfreq-domain0freq-domain1,9 xoalternate7N~wifi@188000002qcom,wcn3990-wifi0okay/membasecxo_ref_clk_pin,  1@J_\r[]soundthermal-zonescpu0-thermaltripstrip-point0_passivetrip-point1spassivecpu-crit criticalcpu1-thermaltripstrip-point0_passivetrip-point1spassivecpu-crit criticalcpu2-thermaltripstrip-point0_passivetrip-point1spassivecpu-crit criticalcpu3-thermaltripstrip-point0_passivetrip-point1spassivecpu-crit criticalcpu4-thermaltripstrip-point0_passivetrip-point1spassivecpu-crit criticalcpu5-thermaltripstrip-point0_passivetrip-point1spassivecpu-crit criticalcpu6-thermal tripstrip-point0_passivetrip-point1spassivecpu-crit criticalcpu7-thermal tripstrip-point0_passivetrip-point1spassivecpu-crit criticalaoss0-thermaltripstrip-point0_hotcluster0-thermaltripstrip-point0_hotcluster0_crit criticalcluster1-thermaltripstrip-point0_hotcluster1_crit criticalgpu-top-thermal tripstrip-point0_hotgpu-bottom-thermal tripstrip-point0_hotaoss1-thermaltripstrip-point0_hotq6-modem-thermaltripstrip-point0_hotmem-thermaltripstrip-point0_hotwlan-thermaltripstrip-point0_hotq6-hvx-thermaltripstrip-point0_hotcamera-thermaltripstrip-point0_hotvideo-thermaltripstrip-point0_hotmodem-thermaltripstrip-point0_hotpm8998-thermaltripspm8998-alert0(passivepm8998-critH criticaltimer2arm,armv8-timer0 gpio-keys 2gpio-keysdefaultkey-vol-up Evolume_up s battery2simple-battery0:R6oC#~vph-pwr-regulator2regulator-fixedvph_pwr u8u  8u ~pm8998-smps42regulator-fixed vreg_s4a_1p8 uw@ w@ ~Z interrupt-parent#address-cells#size-cellsmodelcompatibleqcom,msm-idqcom,board-idi2c0i2c1i2c2i2c3i2c4i2c5i2c6i2c7i2c8i2c9i2c10i2c11i2c12i2c13i2c14i2c15spi0spi1spi2spi3spi4spi5spi6spi7spi8spi9spi10spi11spi12spi13spi14spi15display0serial0serial1rangesstdout-pathregwidthheightstrideformat#clock-cellsclock-frequencyclock-output-namesphandledevice_typeclocksenable-methodcapacity-dmips-mhzdynamic-power-coefficientqcom,freq-domainoperating-points-v2interconnectspower-domainspower-domain-names#cooling-cellsnext-level-cachecache-levelcache-unifiedcpuentry-methodidle-state-namearm,psci-suspend-paramentry-latency-usexit-latency-usmin-residency-uslocal-timer-stopopp-sharedopp-hzopp-peak-kBpsrequired-oppsinterrupts#power-domain-cellsdomain-idle-statesno-maphwlocksqcom,client-idqcom,vmidalloc-rangessizealignmentreusablerecord-sizeconsole-sizeftrace-sizepmsg-sizeecc-sizeinterrupts-extendedinterrupt-namesclock-namesmemory-regionqcom,qmpqcom,smem-statesqcom,smem-state-namesstatusfirmware-namelabelqcom,remote-pidmboxesqcom,glink-channelsqcom,domainqcom,intentsqcom,protection-domain#sound-dai-cellsiommusqcom,non-secure-domainqcom,smemqcom,local-pidqcom,entry-name#qcom,smem-state-cellsinterrupt-controller#interrupt-cellsdma-ranges#reset-cellsprotected-clocksbits#dma-cellsdma-channelsdma-channel-maskinterconnect-namespinctrl-namespinctrl-0dmasdma-nameswakeup-sourcevdd-supplyvcc-i2c-supplypinctrl-1reset-gpioirq-gpiotouchscreen-size-xtouchscreen-size-yfocaltech,max-touch-numbervddio-supplyvddxo-supplyvddrf-supplyvddch0-supplymax-speedreg-nameslinux,pci-domainbus-rangenum-lanesinterrupt-map-maskinterrupt-mapiommu-mapresetsreset-namesphysphy-namesassigned-clocksassigned-clock-rates#phy-cells#interconnect-cellsqcom,bcm-voterslanes-per-directionfreq-table-hzreset-gpiosvcc-supplyvcc-max-microampvdda-phy-supplyvdda-pll-supplyqcom,eeqcom,controlled-remotelyqcom,gsi-loader#hwlock-cellsgpio-controller#gpio-cellsgpio-rangeswakeup-parentgpio-reserved-rangespinsfunctionbias-pull-updrive-strengthbias-pull-downbias-disableoutput-highqcom,halt-regsqcom,vmidsremote-endpointarm,scatter-gatherarm,coresight-loses-context-with-cpucpusqcom,lmh-temp-arm-millicelsiusqcom,lmh-temp-low-millicelsiusqcom,lmh-temp-high-millicelsiusnvmem-cellsvdda-phy-dpdm-supplysnps,dis_u2_susphy_quirksnps,dis_enblslpm_quirkdr_modeassigned-clock-parentsvdda-supplydata-lanesvdd3p3-supplyvdds-supplyqcom,gmuopp-level#iommu-cells#global-interruptsqcom,pdc-ranges#qcom,sensors#thermal-sensor-cellsqcom,channelmode-bootloadermode-recoverydebouncelinux,codeio-channelsio-channel-names#io-channel-cellsinput-enableqcom,drive-strengthmonitored-battery#pwm-cellscolorled-sourcesled-max-microampflash-max-microampflash-max-timeout-us#mbox-cellsqcom,tcs-offsetqcom,drv-idqcom,tcs-configqcom,pmic-idvdd-s1-supplyvdd-s2-supplyvdd-s3-supplyvdd-s4-supplyvdd-s5-supplyvdd-s6-supplyvdd-s7-supplyvdd-s8-supplyvdd-s9-supplyvdd-s10-supplyvdd-s11-supplyvdd-s12-supplyvdd-s13-supplyvdd-l1-l27-supplyvdd-l2-l8-l17-supplyvdd-l3-l11-supplyvdd-l4-l5-supplyvdd-l6-supplyvdd-l7-l12-l14-l15-supplyvdd-l9-supplyvdd-l10-l23-l25-supplyvdd-l13-l19-l21-supplyvdd-l16-l28-supplyvdd-l18-l22-supplyvdd-l20-l24-supplyvdd-l26-supplyvin-lvs-1-2-supplyregulator-min-microvoltregulator-max-microvoltregulator-initial-moderegulator-always-onvdd-bob-supplyregulator-allow-bypassmsi-controller#msi-cellsnum-channelsqcom,num-eesframe-number#freq-domain-cellsvdd-0.8-cx-mx-supplyvdd-1.3-rfa-supplyvdd-1.8-xo-supplyvdd-3.3-ch0-supplyvdd-3.3-ch1-supplyqcom,snoc-host-cap-8bit-quirkpolling-delay-passivepolling-delaythermal-sensorstemperaturehysteresisautorepeatdebounce-intervalcharge-full-design-microamp-hoursvoltage-min-design-microvoltvoltage-max-design-microvoltregulator-nameregulator-boot-onvin-supply